Leakage Energy Management in Cache Hierarchies L. Li, I. Kadayif, Y-F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam Penn State.

Slides:



Advertisements
Similar presentations
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Advertisements

Reducing Leakage Power in Peripheral Circuits of L2 Caches Houman Homayoun and Alex Veidenbaum Dept. of Computer Science, UC Irvine {hhomayou,
FLEXclusion: Balancing Cache Capacity and On-chip Bandwidth via Flexible Exclusion Jaewoong Sim Jaekyu Lee Moinuddin K. Qureshi Hyesoon Kim.
Virtual Exclusion: An Architectural Approach to Reducing Leakage Energy in Multiprocessor Systems Mrinmoy Ghosh Hsien-Hsin S. Lee School of Electrical.
The Locality-Aware Adaptive Cache Coherence Protocol George Kurian 1, Omer Khan 2, Srini Devadas 1 1 Massachusetts Institute of Technology 2 University.
A Framework for Dynamic Energy Efficiency and Temperature Management (DEETM) Michael Huang, Jose Renau, Seung-Moon Yoo, Josep Torrellas University of Illinois.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science University of Michigan.
1 A Self-Tuning Cache Architecture for Embedded Systems Chuanjun Zhang*, Frank Vahid**, and Roman Lysecky *Dept. of Electrical Engineering Dept. of Computer.
Zhiguo Ge, Weng-Fai Wong, and Hock-Beng Lim Proceedings of the Design, Automation, and Test in Europe Conference, 2007 (DATE’07) April /4/17.
Managing Static (Leakage) Power S. Kaxiras, M Martonosi, “Computer Architecture Techniques for Power Effecience”, Chapter 5.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Power Reduction Techniques For Microprocessor Systems
Evaluating an Adaptive Framework For Energy Management in Processor- In-Memory Chips Michael Huang, Jose Renau, Seung-Moon Yoo, Josep Torrellas.
Adaptive Techniques for Leakage Power Management in L2 Cache Peripheral Circuits Houman Homayoun Alex Veidenbaum and Jean-Luc Gaudiot Dept. of Computer.
Increasing the Cache Efficiency by Eliminating Noise Philip A. Marshall.
Chuanjun Zhang, UC Riverside 1 Low Static-Power Frequent-Value Data Caches Chuanjun Zhang*, Jun Yang, and Frank Vahid** *Dept. of Electrical Engineering.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
A highly Configurable Cache Architecture for Embedded Systems Chuanjun Zhang*, Frank Vahid**, and Walid Najjar* *University of California, Riverside **The.
On the Limits of Leakage Power Reduction in Caches Yan Meng, Tim Sherwood and Ryan Kastner UC, Santa Barbara HPCA-2005.
Compiler-Directed instruction cache leakage optimizations Discussed by Discussed by Raid Ayoub CSE D EPARTMENT.
A Highly Configurable Cache Architecture for Embedded Systems Chuanjun Zhang, Frank Vahid and Walid Najjar University of California, Riverside ISCA 2003.
PED Roadmapping Issues Vijaykrishnan Narayanan Dept. of CSE Penn State University GSRC Workshop, March 20-21, 2003.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 Low Power Design in Microarchitectures and Memories [Adapted from Mary Jane Irwin (
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge
1 Energy-efficiency potential of a phase-based cache resizing scheme for embedded systems G. Pokam and F. Bodin.
CS 7810 Lecture 13 Pipeline Gating: Speculation Control For Energy Reduction S. Manne, A. Klauser, D. Grunwald Proceedings of ISCA-25 June 1998.
Power Management in Multicores Minshu Zhao. Outline Introduction Review of Power management technique Power management in Multicore ◦ Identify Multicores.
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Hardware Caches with Low Access Times and High Hit Ratios Xiaodong Zhang College of William and Mary.
Low Power Techniques in Processor Design
Roza Ghamari Bogazici University.  Current trends in transistor size, voltage, and clock frequency, future microprocessors will become increasingly susceptible.
Power Reduction for FPGA using Multiple Vdd/Vth
GREEN COMPUTING Power Consumption Basics in ICT Products
Low-Power Wireless Sensor Networks
Dept. of Computer Science, UC Irvine
Drowsy Caches: Simple Techniques for Reducing Leakage Power Authors: ARM Ltd Krisztián Flautner, Advanced Computer Architecture Lab, The University of.
Speculative Software Management of Datapath-width for Energy Optimization G. Pokam, O. Rochecouste, A. Seznec, and F. Bodin IRISA, Campus de Beaulieu
Exploiting Program Hotspots and Code Sequentiality for Instruction Cache Leakage Management J. S. Hu, A. Nadgir, N. Vijaykrishnan, M. J. Irwin, M. Kandemir.
Washington State University
Power Management in High Performance Processors through Dynamic Resource Adaptation and Multiple Sleep Mode Assignments Houman Homayoun National Science.
1 Tuning Garbage Collection in an Embedded Java Environment G. Chen, R. Shetty, M. Kandemir, N. Vijaykrishnan, M. J. Irwin Microsystems Design Lab The.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
CSE477 L00 ReadMe.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Read Me Mary Jane Irwin ( ) Vijay.
Multiple Sleep Mode Leakage Control for Cache Peripheral Circuits in Embedded Processors Houman Homayoun, Avesta Makhzan, Alex Veidenbaum Dept. of Computer.
Supply Voltage Biasing Andy Whetzel and Elena Weinberg University of Virginia.
Power Estimation and Optimization for SoC Design
Charge Recycling in MTCMOS Circuits: Concept and Analysis
Basics of Energy & Power Dissipation
UltraSPARC III Hari P. Ananthanarayanan Anand S. Rajan.
Hardware Architectures for Power and Energy Adaptation Phillip Stanley-Marbell.
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
Power Analysis of Embedded Software : A Fast Step Towards Software Power Minimization 指導教授 : 陳少傑 教授 組員 : R 張馨怡 R 林秀萍.
126 March 2006ODES-4 Performance Optimization for Low-Leakage Caches based on Sleep-Line Access Density Reiko Komiya †, Koji Inoue ‡ and Kazuaki Murakami.
1 Dual-V cc SRAM Class presentation for Advanced VLSIPresenter:A.Sammak Adopted from: M. Khellah,A 4.2GHz 0.3mm 2 256kb Dual-V CC SRAM Building Block in.
Cache Pipelining with Partial Operand Knowledge Erika Gunadi and Mikko H. Lipasti Department of Electrical and Computer Engineering University of Wisconsin—Madison.
1 Improved Policies for Drowsy Caches in Embedded Processors Junpei Zushi Gang Zeng Hiroyuki Tomiyama Hiroaki Takada (Nagoya University) Koji Inoue (Kyushu.
CS203 – Advanced Computer Architecture
Presented by Rania Kilany.  Energy consumption  Energy consumption is a major concern in many embedded computing systems.  Cache Memories 50%  Cache.
LOW POWER DESIGN METHODS
Rakesh Kumar Keith Farkas Norman P Jouppi,Partha Ranganathan,Dean M.Tullsen University of California, San Diego MICRO 2003 Speaker : Chun-Chung Chen Single-ISA.
Improving Multi-Core Performance Using Mixed-Cell Cache Architecture
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
SECTIONS 1-7 By Astha Chawla
Hot Chips, Slow Wires, Leaky Transistors
Fine-Grain CAM-Tag Cache Resizing Using Miss Tags
Computer Architecture Lecture 4 17th May, 2006
Qingbo Zhu, Asim Shankar and Yuanyuan Zhou
Presentation transcript:

Leakage Energy Management in Cache Hierarchies L. Li, I. Kadayif, Y-F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam Penn State University PACT-2002 Charlottesville, Virginia September 22-25, 2002

Outline Motivation Related works Circuit support for leakage control Leakage optimization strategies Integration with other strategies Conclusion Future works

Motivation Leakage energy is projected to become the dominant portion of the chip power budget for 0.10 micron technology and below. A. Chandrakasan et al., Design of High-Performance Microprocessor Circuits. Leakage energy is of particular concern in dense cache memories that form a major portion of the transistor budget.

Related Works M. D. Powell et al. An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches.(HPCA-7) S. Kaxiras et al. Cache decay: exploiting generational behavior to reduce cache leakage power. (ISCA-28) H. Zhou et al. Adaptive mode control: A static-power-efficient cache design. (PACT’01) K. Flautner et al. Drowsy caches: Simple techniques for reducing leakage power. (ISCA-29) Y-F. Tsai et al. A sizing model for SRAM data preserving sleep transistors. (ASIC’02)

Circuit Support for Leakage Control State-destroying mechanism. ( Gated-V dd ) Introduce a power-switch between the ground and the circuit to reduce leakage. Sizing to maximize the static power saving but lose data in cells. State-preserving mechanism. ( Modified Gated-V dd ) Appropriately sizing NMOS power-switch to provide the required minimum supply voltage to maintain the state of a static memory cell.

State-preserving Leakage Control

Leakage Optimization Strategies Employ state-destroying or state-preserving mechanisms in cache. For single block, state-destroying mechanism saves more leakage energy than state-preserving mechanism. For whole cache hierarchies, state-destroying mechanism pays a higher miss penalty. Exploit data duplication in the cache hierarchy. Data duplication: data in L2 subblocks also exist in L1 blocks. Implement five leakage reduction strategies.

Leakage Optimization Strategies (II) StrategyWhen is L2 subblock turned off? Mechanism in L2 When is L2 subblock reactivated? Conservativewhen L1 block becomes dirty state-destroyingwhen accessed Speculative-Iwhen L2 subblock is moved to L1 state-preservingwhen accessed Speculative-IIwhen L2 subblock is moved to L1 state-destroyingwhen accessed Speculative-IIIwhen L2 subblock is moved to L1 state-preservingwhen L1 block is evicted Speculative-IVwhen L2 subblock is moved to L1 state-destroyingwhen L1 block is evicted

Conservative L1L2 Active Destroying Write load Only deactivate dead L2 subblocks. Before written in L1, both two copies of data are in active mode.

Speculative-I L1L2 Active load Preserving re-access Active evict Put L2 subblock in state-preserving mode when data is brought from L2 to L1. Not lose data in L2 and need time to reactivate L2 subblock when re-access.

Speculative-II L1L2 Active load re-access evict DestroyingActive load Put L2 subblock in state-destroying mode when data is brought from L2 to L1. Lose data in L2 and need longer time to load data from main memory when re-access.

Speculative-III L1L2 Active load PreservingActive evict Similar to Speculative-I except that L2 subblock reactivated when L1 block is replaced. Hide reactivation time.

Speculative-IV L1L2 Active load evict and Write back DestroyingActive Similar to Speculative-II except that L2 subblock is written back when L1 block is replaced.

Experimental Configuration Technology0.07 micron Supply Voltage1.0V Virtual Supply Settling Time50 cycles Dynamic Energy per L1 Access0.565nJ Dynamic Energy per L2 Access5.83nJ Leakage Energy per L1 Block per Active Cycle 0.551pJ Leakage Energy per L2 Subblock per Standby Cycle (state-preserving) 0.055pJ Leakage Energy per L2 Subblock per Standby Cycle (state-destroying) 0pJ Control Energy0.055nJ

Result of Energy Saving Conservative Speculative-I Speculative-II Speculative-III Speculative-IV

Result of Energy-delay Saving Conservative Speculative-I Speculative-II Speculative-III Speculative-IV

Average Saving of Five Strategies

Integration With Other Strategies Cache decay Exploiting generational behavior and use state-destroying mechanism to reduce cache leakage energy. Implement four strategies L1L2 Decay-Icache decaystate-destroyingcache decaystate-destroying Decay-IIcache decaystate-destroyingcache decaystate-preserving Speculative -Decay-I cache decaystate-destroyingspeculative-Istate-preserving Speculative -Decay-II cache decaystate-destroyingcache decay + speculative-I state-preserving

Result of Energy Saving Decay-I Decay-II Speculative-Decay-I Speculative-Decay-II

Result of Energy-delay Saving Decay-I Decay-II Speculative-Decay-I Speculative-Decay-II

Average Savings of Strategies

Conclusion Duplication of data at different levels of memory hierarchy is costly from the leakage energy perspective. Applying state-preserving leakage control strategy to L2 cache can reduce energy consumption significantly. Our strategies can be combined with other techniques to provide additional energy gains.

Future Works More powerful combined optimization strategies. Combining state-preserving and state- destroying strategies. Software-based leakage optimization. Integrating hardware-based and software-based strategies.

Thanks !