CPE 731 Advanced Computer Architecture Instruction Level Parallelism Part I Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University.

Slides:



Advertisements
Similar presentations
Instruction-Level Parallelism
Advertisements

CS 378 Programming for Performance Single-Thread Performance: Compiler Scheduling for Pipelines Adopted from Siddhartha Chatterjee Spring 2009.
ILP: IntroductionCSCE430/830 Instruction-level parallelism: Introduction CSCE430/830 Computer Architecture Lecturer: Prof. Hong Jiang Courtesy of Yifeng.
Instruction-Level Parallelism compiler techniques and branch prediction prepared and Instructed by Shmuel Wimer Eng. Faculty, Bar-Ilan University March.
HW 2 is out! Due 9/25!. CS 6290 Static Exploitation of ILP.
Compiler techniques for exposing ILP
1 Lecture 5: Static ILP Basics Topics: loop unrolling, VLIW (Sections 2.1 – 2.2)
ENGS 116 Lecture 101 ILP: Software Approaches Vincent H. Berk October 12 th Reading for today: , 4.1 Reading for Friday: 4.2 – 4.6 Homework #2:
CS 6461: Computer Architecture Basic Compiler Techniques for Exposing ILP Instructor: Morris Lancaster Corresponding to Hennessey and Patterson Fifth Edition.
Computer Organization and Architecture (AT70.01) Comp. Sc. and Inf. Mgmt. Asian Institute of Technology Instructor: Dr. Sumanta Guha Slide Sources: Based.
CPE 631: ILP, Static Exploitation Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic,
CPE 731 Advanced Computer Architecture ILP: Part V – Multiple Issue Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University of.
1 4/20/06 Exploiting Instruction-Level Parallelism with Software Approaches Original by Prof. David A. Patterson.
FTC.W99 1 Advanced Pipelining and Instruction Level Parallelism (ILP) ILP: Overlap execution of unrelated instructions gcc 17% control transfer –5 instructions.
Instruction Level Parallelism María Jesús Garzarán University of Illinois at Urbana-Champaign.
COMP4611 Tutorial 6 Instruction Level Parallelism
1 Lecture: Static ILP Topics: compiler scheduling, loop unrolling, software pipelining (Sections C.5, 3.2)
Anshul Kumar, CSE IITD CS718 : VLIW - Software Driven ILP Introduction 23rd Mar, 2006.
Eliminating Stalls Using Compiler Support. Instruction Level Parallelism gcc 17% control transfer –5 instructions + 1 branch –Reordering among 5 instructions.
ILP: Loop UnrollingCSCE430/830 Instruction-level parallelism: Loop Unrolling CSCE430/830 Computer Architecture Lecturer: Prof. Hong Jiang Courtesy of Yifeng.
EECC551 - Shaaban #1 Fall 2003 lec# Pipelining and Exploiting Instruction-Level Parallelism (ILP) Pipelining increases performance by overlapping.
1 COMP 740: Computer Architecture and Implementation Montek Singh Tue, Feb 24, 2009 Topic: Instruction-Level Parallelism IV (Software Approaches/Compiler.
EEL Advanced Pipelining and Instruction Level Parallelism Lotzi Bölöni.
Computer Architecture Instruction Level Parallelism Dr. Esam Al-Qaralleh.
Exploiting ILP with Software Approaches
1 ILP (Recap). 2 Basic Block (BB) ILP is quite small –BB: a straight-line code sequence with no branches in except to the entry and no branches out except.
CS152 Lec15.1 Advanced Topics in Pipelining Loop Unrolling Super scalar and VLIW Dynamic scheduling.
1 Advanced Computer Architecture Limits to ILP Lecture 3.
Chapter 4 Advanced Pipelining and Intruction-Level Parallelism Computer Architecture A Quantitative Approach John L Hennessy & David A Patterson 2 nd Edition,
Lecture 3: Chapter 2 Instruction Level Parallelism Dr. Eng. Amr T. Abdel-Hamid CSEN 601 Spring 2011 Computer Architecture Text book slides: Computer Architec.
Static Scheduling for ILP Professor Alvin R. Lebeck Computer Science 220 / ECE 252 Fall 2008.
1 Lecture 10: Static ILP Basics Topics: loop unrolling, static branch prediction, VLIW (Sections 4.1 – 4.4)
CSC 4250 Computer Architectures November 14, 2006 Chapter 4.Instruction-Level Parallelism & Software Approaches.
1 Copyright © 2012, Elsevier Inc. All rights reserved. Chapter 3 (and Appendix C) Instruction-Level Parallelism and Its Exploitation Computer Architecture.
Chapter 3 Instruction-Level Parallelism and Its Dynamic Exploitation – Concepts 吳俊興 高雄大學資訊工程學系 October 2004 EEF011 Computer Architecture 計算機結構.
EECC551 - Shaaban #1 Winter 2002 lec# Pipelining and Exploiting Instruction-Level Parallelism (ILP) Pipelining increases performance by overlapping.
EECC551 - Shaaban #1 Spring 2006 lec# Pipelining and Instruction-Level Parallelism. Definition of basic instruction block Increasing Instruction-Level.
EECC551 - Shaaban #1 Fall 2005 lec# Pipelining and Instruction-Level Parallelism. Definition of basic instruction block Increasing Instruction-Level.
1 COMP 206: Computer Architecture and Implementation Montek Singh Wed., Oct. 29, 2003 Topic: Software Approaches for ILP (Compiler Techniques) contd.
Chapter 2 Instruction-Level Parallelism and Its Exploitation
EECC551 - Shaaban #1 Fall 2002 lec# Floating Point/Multicycle Pipelining in MIPS Completion of MIPS EX stage floating point arithmetic operations.
EENG449b/Savvides Lec /24/05 February 24, 2005 Prof. Andreas Savvides Spring EENG 449bG/CPSC 439bG.
EECC551 - Shaaban #1 Winter 2011 lec# Pipelining and Instruction-Level Parallelism (ILP). Definition of basic instruction block Increasing Instruction-Level.
EECC551 - Shaaban #1 Spring 2004 lec# Definition of basic instruction blocks Increasing Instruction-Level Parallelism & Size of Basic Blocks.
COMP381 by M. Hamdi 1 Loop Level Parallelism Instruction Level Parallelism: Loop Level Parallelism.
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
CPSC614 Lec 6.1 Exploiting Instruction-Level Parallelism with Software Approach #1 E. J. Kim.
CS203 – Advanced Computer Architecture Instruction Level Parallelism.
Compiler Techniques for ILP
CS203 – Advanced Computer Architecture
Computer Architecture Principles Dr. Mike Frank
Concepts and Challenges
CPE 731 Advanced Computer Architecture ILP: Part V – Multiple Issue
Instruction-Level Parallelism (ILP)
CSCE430/830 Computer Architecture
CSL718 : VLIW - Software Driven ILP
Lecture: Static ILP Topics: compiler scheduling, loop unrolling, software pipelining (Sections C.5, 3.2)
Siddhartha Chatterjee Spring 2008
CS 704 Advanced Computer Architecture
Adapted from the slides of Prof
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
CC423: Advanced Computer Architecture ILP: Part V – Multiple Issue
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Dynamic Hardware Prediction
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
CMSC 611: Advanced Computer Architecture
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Presentation transcript:

CPE 731 Advanced Computer Architecture Instruction Level Parallelism Part I Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University of California, Berkeley

4/14/2015CPE 731, ILP 2 Outline ILP Compiler techniques to increase ILP 1.Register Renaming 2.Pipeline Scheduling 3.Loop Unrolling Conclusion

4/14/2015CPE 731, ILP 3 Instruction Level Parallelism Instruction-Level Parallelism (ILP): overlap the execution of instructions to improve performance 2 approaches to exploit ILP: 1)Rely on hardware to help discover and exploit the parallelism dynamically (e.g., Pentium 4, AMD Opteron, IBM Power), and 2)Rely on software technology to find parallelism, statically at compile-time (e.g., Itanium 2)

4/14/2015CPE 731, ILP 4 Instruction-Level Parallelism (ILP) Basic Block (BB) ILP is quite small –BB: a straight-line code sequence with no branches in except to the entry and no branches out except at the exit –average dynamic branch frequency 15% to 25% => 4 to 7 instructions execute between a pair of branches –Plus instructions in BB likely to depend on each other To obtain substantial performance enhancements, we must exploit ILP across multiple basic blocks Simplest: loop-level parallelism to exploit parallelism among iterations of a loop. E.g., for (i=1; i<=1000; i=i+1) x[i] = x[i] + y[i];

4/14/2015CPE 731, ILP 5 Loop-Level Parallelism Exploit loop-level parallelism to parallelism by “unrolling loop” either by 1.dynamic via branch prediction or 2.static via loop unrolling by compiler Determining instruction dependence is critical to Loop Level Parallelism If 2 instructions are –parallel, they can execute simultaneously in a pipeline of arbitrary depth without causing any stalls (assuming no structural hazards) –dependent, they are not parallel and must be executed in order, although they may often be partially overlapped

4/14/2015CPE 731, ILP 6 Instr J is data dependent (aka true dependence) on Instr I: 1.Instr J reads operand written by Instr I 2.or Instr J is data dependent on Instr K which is dependent on Instr I If two instructions are data dependent, they cannot execute simultaneously or be completely overlapped Data dependence in instruction sequence  data dependence in source code  effect of original data dependence must be preserved If data dependence caused a hazard in pipeline, called a Read After Write (RAW) hazard Data Dependence and Hazards I: add r1,r2,r3 J: sub r4,r1,r3

4/14/2015CPE 731, ILP 7 ILP and Data Dependencies, Hazards HW/SW must preserve program order: order instructions would execute in if executed sequentially as determined by original source program –Dependences are a property of programs Presence of dependence indicates potential for a hazard, but actual hazard and length of any stall is property of the pipeline Importance of the data dependencies 1) indicates the possibility of a hazard 2) determines order in which results must be calculated 3) sets an upper bound on how much parallelism can possibly be exploited HW/SW goal: exploit parallelism by preserving program order only where it affects the outcome of the program

4/14/2015CPE 731, ILP 8 Name dependence: when 2 instructions use same register or memory location, called a name, but no flow of data between the instructions associated with that name; 2 versions of name dependence Instr J writes operand that Instr I reads Called an “anti-dependence” by compiler writers. This results from reuse of the name “r1” If anti-dependence caused a hazard in the pipeline, called a Write After Read (WAR) hazard I: sub r4,r1,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Name Dependence #1: Anti-dependence

4/14/2015CPE 731, ILP 9 Name Dependence #2: Output dependence Instr J writes operand that Instr I writes. Called an “output dependence” by compiler writers This also results from the reuse of name “r1” If anti-dependence caused a hazard in the pipeline, called a Write After Write (WAW) hazard I: sub r1,r4,r3 J: add r1,r2,r3 K: mul r6,r1,r7

4/14/2015CPE 731, ILP 10 Control Dependencies Every instruction is control dependent on some set of branches, and, in general, these control dependencies must be preserved to preserve program order if p1 { S1; }; if p2 { S2; } S1 is control dependent on p1, and S2 is control dependent on p2 but not on p1.

4/14/2015CPE 731, ILP 11 Control Dependence Ignored Control dependence need not be preserved –willing to execute instructions that should not have been executed, thereby violating the control dependences, if can do so without affecting correctness of the program Instead, 2 properties critical to program correctness are 1)exception behavior and 2)data flow

4/14/2015CPE 731, ILP 12 Exception Behavior Preserving exception behavior  any changes in instruction execution order must not change how exceptions are raised in program (  no new exceptions) Example: DADDUR2,R3,R4 BEQZR2,L1 LWR1,0(R2) L1: –(Assume branches not delayed) Problem with moving LW before BEQZ ?

4/14/2015CPE 731, ILP 13 Data Flow Data flow: actual flow of data values among instructions that produce results and those that consume them –branches make flow dynamic, determine which instruction is supplier of data Example: DADDUR1,R2,R3 BEQZR4,L DSUBUR1,R5,R6 L:… ORR7,R1,R8 OR depends on DADDU or DSUBU ? Must preserve data flow on execution

4/14/2015CPE 731, ILP 14 Outline ILP Compiler techniques to increase ILP 1.Register Renaming 2.Pipeline Scheduling 3.Loop Unrolling Conclusion

4/14/2015CPE 731, ILP Register Renaming Instructions involved in a name dependence can execute simultaneously if name used in instructions is changed so instructions do not conflict –Register renaming resolves name dependence for registers –Either by compiler or by HW I: sub r1,r4,r3 J: add r1,r2,r3 K: mul r6,r1,r7 I: sub r1,r4,r3 J: add r8,r2,r3 K: mul r6,r8,r7

4/14/2015CPE 731, ILP Pipeline Scheduling Rearranging and modifying instruction to maximize instruction execution overlap Assume following latencies for all examples –Ignore delayed branch in these examples InstructionInstructionLatencystalls between producing resultusing result in cyclesin cycles FP ALU opAnother FP ALU op 4 3 FP ALU opStore double 3 2 Load doubleFP ALU op 1 1 Load doubleStore double 1 0 Integer opInteger op 1 0

4/14/2015CPE 731, ILP 17 Pipeline Scheduling - Example This code, add a scalar to a vector: for (i=1000; i>0; i=i–1) x[i] = x[i] + s; Loop:L.DF0,0(R1);F0=vector element ADD.DF4,F0,F2;add scalar from F2 S.DF4, 0(R1);store result DADDUIR1,R1,-8;decrement pointer 8B (DW) BNEZR1,Loop;branch R1!=zero First translate into MIPS code: -To simplify, assume 8 is lowest address

4/14/2015CPE 731, ILP 18 FP Loop Showing Stalls 9 clock cycles: Rewrite code to minimize stalls? 1 Loop:L.DF0,0(R1);F0=vector element 2stall 3ADD.DF4,F0,F2;add scalar in F2 4stall 5stall 6 S.DF4, 0(R1) ;store result 7 DADDUIR1,R1,-8;decrement pointer 8B (DW) 8 stall; assumes can’t forward to branch 9 BNEZR1,Loop;branch R1!=zero

4/14/2015CPE 731, ILP 19 Revised FP Loop Minimizing Stalls 7 clock cycles, but just 3 for execution (L.D, ADD.D,S.D), 4 for loop overhead; How make faster? 1 Loop:L.DF0,0(R1) 2DADDUIR1,R1,-8 3ADD.DF4,F0,F2 4 stall 5stall 6 S.D F4, 8(R1) ;altered offset when move DSUBUI 7 BNEZR1,Loop Swap DADDUI and S.D by changing address of S.D

4/14/2015CPE 731, ILP 20 Outline ILP Compiler techniques to increase ILP 1.Register Renaming 2.Pipeline Scheduling 3.Loop Unrolling Conclusion

4/14/2015CPE 731, ILP Loop Unrolling Is replicating the loop body multiple times. To get more instructions in one loop to do more overlapping. Steps: 1.Replicate body 2.Remove loop overhead 3.Rename registers 4.Schedule

4/14/2015CPE 731, ILP 22 Unroll Loop Four Times (straightforward way) Rewrite loop to minimize stalls? 1 Loop:L.DF0,0(R1) 3ADD.DF4,F0,F2 6S.DF4,0(R1) ;drop DSUBUI & BNEZ 7L.DF6,-8(R1) 9ADD.DF8,F6,F2 12S.DF8,-8(R1) ;drop DSUBUI & BNEZ 13L.DF10,-16(R1) 15ADD.DF12,F10,F2 18S.DF12,-16(R1) ;drop DSUBUI & BNEZ 19L.DF14,-24(R1) 21ADD.DF16,F14,F2 24S.DF16,-24(R1) 25DADDUIR1,R1,#-32;alter to 4*8 27BNEZR1,LOOP 27 clock cycles, or 6.75 per iteration (Assumes R1 is multiple of 4) 1 cycle stall 2 cycles stall

4/14/2015CPE 731, ILP 23 Unrolled Loop That Minimizes Stalls 1 Loop:L.DF0,0(R1) 2L.DF6,-8(R1) 3L.DF10,-16(R1) 4L.DF14,-24(R1) 5ADD.DF4,F0,F2 6ADD.DF8,F6,F2 7ADD.DF12,F10,F2 8ADD.DF16,F14,F2 9S.DF4,0(R1) 10S.DF8,-8(R1) 11S.DF12,-16(R1) 12DSUBUIR1,R1,#32 13 S.D F16, 8(R1); 8-32 = BNEZR1,LOOP 14 clock cycles, or 3.5 per iteration

4/14/2015CPE 731, ILP 24 Unrolled Loop Detail Do not usually know upper bound of loop Suppose it is n, and we would like to unroll the loop to make k copies of the body Instead of a single unrolled loop, we generate a pair of consecutive loops: –1st executes (n mod k) times and has a body that is the original loop –2nd is the unrolled body surrounded by an outer loop that iterates (n/k) times For large values of n, most of the execution time will be spent in the unrolled loop

4/14/2015CPE 731, ILP 25 5 Loop Unrolling Decisions Requires understanding how one instruction depends on another and how the instructions can be changed or reordered given the dependences: 1.Determine loop unrolling useful by finding that loop iterations were independent (except for maintenance code) 2.Use different registers to avoid unnecessary constraints forced by using same registers for different computations 3.Eliminate the extra test and branch instructions and adjust the loop termination and iteration code 4.Determine that loads and stores in unrolled loop can be interchanged by observing that loads and stores from different iterations are independent Transformation requires analyzing memory addresses and finding that they do not refer to the same address 5.Schedule the code, preserving any dependences needed to yield the same result as the original code

4/14/2015CPE 731, ILP 26 3 Limits to Loop Unrolling 1.Decrease in amount of overhead amortized with each extra unrolling Amdahl’s Law 2.Growth in code size For larger loops, concern it increases the instruction cache miss rate 3.Register pressure: potential shortfall in registers created by aggressive unrolling and scheduling If not be possible to allocate all live values to registers, may lose some or all of its advantage Loop unrolling reduces impact of branches on pipeline; another way is branch prediction

4/14/2015CPE 731, ILP 27 And In Conclusion Leverage Implicit Parallelism for Performance: Instruction Level Parallelism Register renaming eliminates name dependence. Pipeline scheduling eliminates stalls. Loop unrolling by compiler to increase ILP