Entropy Extraction in Metastability-based TRNG

Slides:



Advertisements
Similar presentations
Slide 1 Insert your own content. Slide 2 Insert your own content.
Advertisements

1 Post-Fractionated Strip-Block Designs: A Tool for Robustness Applications and Multistage Processes Carla A. Vivacqua University.
SCARIe: Realtime software correlation Nico Kruithof, Damien Marchal.
0 - 0.
DIVIDING INTEGERS 1. IF THE SIGNS ARE THE SAME THE ANSWER IS POSITIVE 2. IF THE SIGNS ARE DIFFERENT THE ANSWER IS NEGATIVE.
SUBTRACTING INTEGERS 1. CHANGE THE SUBTRACTION SIGN TO ADDITION
MULT. INTEGERS 1. IF THE SIGNS ARE THE SAME THE ANSWER IS POSITIVE 2. IF THE SIGNS ARE DIFFERENT THE ANSWER IS NEGATIVE.
Addition Facts
TDC130: High performance Time to Digital Converter in 130 nm
IMPROVING DIRECT TORQUE CONTROL USING MATRIX CONVERTERS Technical University of Catalonia. Electronics Engineering Department. Colom 1, Terrassa 08222,
CS4026 Formal Models of Computation Running Haskell Programs – power.
Robust Window-based Multi-node Technology- Independent Logic Minimization Jeff L.Cobb Kanupriya Gulati Sunil P. Khatri Texas Instruments, Inc. Dept. of.
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
A Stabilization Technique for Phase-Locked Frequency Synthesizers Tai-Cheng Lee and Behzad Razavi IEEE Journal of Solid-State Circuits, Vol. 38, June 2003.
Presenter : Cheng-Ta Wu Kenichiro Anjo, Member, IEEE, Atsushi Okamura, and Masato Motomura IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39,NO. 5, MAY 2004.
Self-Ruled Fuzzy Logic Based Controller K. Oytun Yapıcı Istanbul Technical University Mechanical Engineering System Dynamics and Control Laboratory.
Mobius Microsystems Microsystems Mbius Slide 1 of 21 A 9.2mW 528/66/50MHz Monolithic Clock Synthesizer for Mobile µP Platforms Custom Integrated Circuits.
Handling Resistance Drift in Phase Change Memory - Device, Circuit, Architecture, and System Solutions Manu Awasthi, Manjunath Shevgoor, Kshitij Sudan,
CMOS Logic Circuits.
Feb. 17, 2011 Midterm overview Real life examples of built chips
O X Click on Number next to person for a question.
5.9 + = 10 a)3.6 b)4.1 c)5.3 Question 1: Good Answer!! Well Done!! = 10 Question 1:
Extracting Randomness David Zuckerman University of Texas at Austin.
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
Management and Control of Domestic Smart Grid Technology IEEE Transactions on Smart Grid, Sep Albert Molderink, Vincent Bakker Yong Zhou
Past Tense Probe. Past Tense Probe Past Tense Probe – Practice 1.
The estimation of the SZ effects with unbiased multifilters Diego Herranz, J.L. Sanz, R.B. Barreiro & M. López-Caniego Instituto de Física de Cantabria.
The op-amp Differentiator
Addition 1’s to 20.
25 seconds left…...
Test B, 100 Subtraction Facts
11 = This is the fact family. You say: 8+3=11 and 3+8=11
Week 1.
EE105 Fall 2007Lecture 26, Slide 1Prof. Liu, UC Berkeley Lecture 26 OUTLINE Self-biased current sources – BJT – MOSFET Guest lecturer Prof. Niknejad ANNOUNCEMENTS.
1 Ke – Kitchen Elements Newport Ave. – Lot 13 Bethesda, MD.
Bottoms Up Factoring. Start with the X-box 3-9 Product Sum
Using Cramer-Rao-Lower-Bound to Reduce Complexity of Localization in Wireless Sensor Networks Dominik Lieckfeldt, Dirk Timmermann Department of Computer.
ECE 424 – Introduction to VLSI
Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence, RI
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
Design and Application of Power Optimized High-Speed CMOS Frequency Dividers.
Power Reduction Techniques For Microprocessor Systems
A 16-Bit Kogge Stone PS-CMOS adder with Signal Completion Seng-Oon Toh, Daniel Huang, Jan Rabaey May 9, 2005 EE241 Final Project.
Department of Electrical Engineering National Chung Cheng University, Taiwan IEEE ICHQP 10, 2002, Rio de Janeiro NCCU Gary W. Chang Paulo F. Ribeiro Department.
1 A Variation-tolerant Sub- threshold Design Approach Nikhil Jayakumar Sunil P. Khatri. Texas A&M University, College Station, TX.
Low Power Design for Wireless Sensor Networks Aki Happonen.
1 A Single-supply True Voltage Level Shifter Rajesh Garg Gagandeep Mallarapu Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
S. Mandayam/ NDE/ Fall 99 Principles of Nondestructive Evaluation Shreekanth Mandayam Graduate / Senior Elective / Fall 1999
Design and Implementation of a True Random Number Generator Based on Digital Circuit Artifacts Michael Epstein 1, Laszlo Hars 2, Raymond Krasinski 1, Martin.
1 paper I design and implementation of the aegis single-chip secure processor using physical random functions, isca’05 nuno alves 28/sep/06.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
An Electronic Calibration Scheme for Logarithmic CMOS Pixels Bhaskar Choubey, Satoshi Ayoma*, Stephen Otim, Dileepan Joseph**, Steve Collins, University.
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
ECE 7502 Project Final Presentation
1 A Low-Power True Random Number Generator using Random Telegraph Noise of Single Oxide-Traps Class presentation of advanced VLSI design University of.
An Embedded True Random Number Generator for FPGAs Bebek, Jerry Paul Kohlbrenner Lockheed Martin 3201 Jermantown Road Fairfax, VA 22030, USA
MICAS Department of Electrical Engineering (ESAT) Design-In for EMC on digital circuit December 5th, 2005 Low Emission Digital Circuit Design Junfeng Zhou.
Impact of Process Variation on Input Referred Current Offset in Current Sense Amplifiers Riya Shergill Meenakshi Sekhar.
CHES Viktor Fischer Université Jean Monnet, Saint-Etienne, France Miloš Drutarovský Technical University of Košice,
Click to edit Master title style Progress Update Energy-Performance Characterization of CMOS/MTJ Hybrid Circuits Fengbo Ren 05/28/2010.
Array Multiplier Haibin Wang Qiong Wu. Outlines Background & Motivation Principles Implementation & Simulation Advantages & Disadvantages Conclusions.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Date : 2015/04/14 THERMAL ANALYSIS OF ALUMINUM-ACETONE FLAT PLATE HEAT PIPE APPLICATION IN HEAT DISSIPATION OF HIGH POWER LEDS Wu-Man Liu David T.W.
A High Speed TRNG Based on SRAM for Resource Constrained Devices
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Post-Silicon Calibration for Large-Volume Products
Plan to talk for minutes MAX minutes of questions
Analog Senior Projects 2019
Chris Leonard and Baylor Howard Advisor: Dr. Jing Wang
Presentation transcript:

Entropy Extraction in Metastability-based TRNG Presented by Cheng Chung Wang & Hsi Shou Wu

Outline Background Motivation Implementation and analysis Conclusion Discussion

Background TRNG : True Random Number Generators Entropy : Natural Sources Cosmic rays Stray electromagnetics waves Thermal noise

TRNG Background cont’d Process variation and operating condition will impact the output of TRNG circuits Temperature 1 Fabrication defect TRNG Operating voltage

Background cont’d Bias Removal Techniques Post-processing techniques Calibration techniques

Background cont’d Post-processing techniques XOR Von Neumann corrector (entropy extractor)

Background cont’d Calibration Phase of clock signal Charge injection

Motivation Several proposed biased removal circuit. Which one is the best solution? “Action speaks louder than words”

Implementation and analysis TRNG without correction Advanced technology Technology scale down. Need post-processing or calibration to boost entropy

Implementation and analysis With XOR Depend on the max entropy one 1. A dip in entropy of one of the TRNG will make the output dependent entirely on the other TRNG

Implementation and analysis With Von Neumann corrector Enhance entropy a lot Drawback: 1. not generate at const rate! 2. Effective bit rate decrease with technology scaling

Implementation and analysis TRNG with calibration Tune the driving current/delay…

Implementation and analysis TRNG with calibration Increase entropy but remain const output rate 1. A comparison of the different bias removal techniques is shown in the Fig. 12. As seen from the simulation results, the circuit calibration technique provides significantly better correction in entropy as compared to the XOR function, with increasing device mismatch and improvement comparable to the Von Neumann technique, but at a constant bit rate. 2. Table.2 shows the average energy/bit for the basic TRNG and the different bias removal techniques. Although the XOR function incurs a very small overhead in the form of energy, its inefficiency with increasing variability does not make it a suitable candidate for usage in encryption systems designed in DSM technologies. The Von Neumann corrector maintains the entropy very close to one but at a significant energy cost. With increase in device mismatch more number of TRNG bits is needed per effective random bit generated. Hence, the energy per bit increases. Less energy overhead

Implementation and analysis TRNG with calibration Tradeoff between number of bits entropy and energy consumption 1. Use less bit when no need for such high entropy and to decrease energy consumption

Conclusion 1. Modern security systems need on-chip true random number generators. 2. Conventional post-processing techniques are not efficient for simple TRNG Physical calibration techniques are required . provide a greater flexibility for trading off entropy for energy 1. A comparison of the different bias removal techniques is shown in the Fig. 12. As seen from the simulation results, the circuit calibration technique provides significantly better correction in entropy as compared to the XOR function, with increasing device mismatch and improvement comparable to the Von Neumann technique, but at a constant bit rate. 2. Table.2 shows the average energy/bit for the basic TRNG and the different bias removal techniques. Although the XOR function incurs a very small overhead in the form of energy, its inefficiency with increasing variability does not make it a suitable candidate for usage in encryption systems designed in DSM technologies. The Von Neumann corrector maintains the entropy very close to one but at a significant energy cost. With increase in device mismatch more number of TRNG bits is needed per effective random bit generated. Hence, the energy per bit increases.

Discussion Is the referenced model (dual inverter) representative? Will the results change if we also run Monte Carlo simulation in other parameters (temperature or voltage drop)? Would the area overhead be a huge issue? As technology scaled down, dose the experiment result still make sense? Is bit generation rate a more important issue?