Steiner Min/Max Tree Routing

Slides:



Advertisements
Similar presentations
CALTECH CS137 Fall DeHon 1 CS137: Electronic Design Automation Day 22: December 2, 2005 Routing 2 (Pathfinder)
Advertisements

Penn ESE 535 Spring DeHon 1 ESE535: Electronic Design Automation Day 12: February 23, 2011 Routing 2 (Pathfinder)
Minimum Spanning Trees
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
CSE 780 Algorithms Advanced Algorithms Minimum spanning tree Generic algorithm Kruskal’s algorithm Prim’s algorithm.
1 Internet Networking Spring 2006 Tutorial 6 Network Cost of Minimum Spanning Tree.
1 BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP Minsik Cho and David Z. Pan ECE Dept. Univ. of Texas at Austin DAC 2006, July.
Before start… Earlier work single-path routing in sensor networks
VLSI Routing. Routing Problem  Given a placement, and a fixed number of metal layers, find a valid pattern of horizontal and vertical wires that connect.
Shortest path algorithm. Introduction 4 The graphs we have seen so far have edges that are unweighted. 4 Many graph situations involve weighted edges.
1 Internet Networking Spring 2004 Tutorial 6 Network Cost of Minimum Spanning Tree.
EDA (CS286.5b) Day 14 Routing (Pathfind, netflow).
Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and.
Routing algorithms, all distinct routes, ksp, max-flow, and network flow LPs W. D. Grover TRLabs & University of Alberta © Wayne D. Grover 2002, 2003 E.
Circuit Simulation Based Obstacle-Aware Steiner Routing Yiyu Shi, Paul Mesa, Hao Yu and Lei He EE Department, UCLA Partially supported by NSF Career Award.
CSE 550 Computer Network Design Dr. Mohammed H. Sqalli COE, KFUPM Spring 2007 (Term 062)
VLSI Physical Design Automation Prof. David Pan Office: ACES Lecture 18. Global Routing (II)
7/13/ EE4271 VLSI Design VLSI Routing. 2 7/13/2015 Routing Problem Routing to reduce the area.
Minimum Spanning Trees. Subgraph A graph G is a subgraph of graph H if –The vertices of G are a subset of the vertices of H, and –The edges of G are a.
General Routing Overview and Channel Routing
Modeling Data-Centric Routing in Wireless Sensor Networks Bhaskar Krishnamachari, Deborah Estrin, Stephan Wicker.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 FLUTE: Fast Lookup Table Based RSMT Algorithm.
Chih-Hung Lin, Kai-Cheng Wei VLSI CAD 2008
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
V. V. Vazirani. Approximation Algorithms Chapters 3 & 22
Confidentiality Preserving Integer Programming for Global Routing Hamid Shojaei, Azadeh Davoodi, Parmesh Ramanathan Department of Electrical and Computer.
Global Routing.
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
UNC Chapel Hill Lin/Foskey/Manocha Minimum Spanning Trees Problem: Connect a set of nodes by a network of minimal total length Some applications: –Communication.
Wire Planning with consideration of Electromigration and Interference Avoidance in Analog Circuits 演講者 : 黃信雄 龍華科技大學 電子工程系.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Chi-Cheng Lin, Winona State University CS 313 Introduction to Computer Networking & Telecommunication Chapter 5 Network Layer.
Modern VLSI Design 2e: Chapter 7 Copyright  1998 Prentice Hall PTR Topics n Block placement. n Global routing. n Switchbox routing.
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
GLARE: Global and Local Wiring Aware Routability Evaluation Yaoguang Wei1, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy,
ARCHER:A HISTORY-DRIVEN GLOBAL ROUTING ALGORITHM Muhammet Mustafa Ozdal, Martin D. F. Wong ICCAD ’ 07.
YK Channel Routing (1/16)Practical Problems in VLSI Physical Design Yoshimura-Kuh Channel Routing Perform YK channel routing with K = 100 TOP = [1,1,4,2,3,4,3,6,5,8,5,9]
ERT/SERT Algorithm (1/16)Practical Problems in VLSI Physical Design Elmore Routing Tree (ERT) Algorithm Perform ERT algorithm under 65nm technology  Unit-length.
1-Steiner Algorithm (1/17)Practical Problems in VLSI Physical Design 1-Steiner Routing by Kahng/Robins Perform 1-Steiner Routing by Kahng/Robins  Need.
Routing Topology Algorithms Mustafa Ozdal 1. Introduction How to connect nets with multiple terminals? Net topologies needed before point-to-point routing.
Minimum Bottleneck Spanning Trees (MBST)
MA/CSSE 473 Day 34 MST details: Kruskal's Algorithm Prim's Algorithm.
STEINER TREE BASED ALGORITHMS. INTRODUCTION Maze routing and line probing algorithms cannot be used for multiterminal nets. There are several approaches.
EE4271 VLSI Design VLSI Channel Routing.
Mincut Placement (1/12)Practical Problems in VLSI Physical Design Mincut Placement Perform quadrature mincut onto 4 × 4 grid  Start with vertical cut.
VLSI Physical Design Automation
Network Flow.
Network Flow.
Multi-Commodity Flow Based Routing
Hagen-Kahng EIG Partitioning
FlowMap Algorithm Perform clustering on the following 2-bounded network Intra-cluster and node delay = 0, inter-cluster = 1 Pin constraint = 3 Practical.
Sequence Pair Representation
Iterative Deletion Routing Algorithm
GORDIAN Placement Perform GORDIAN placement
A-tree Routing Algorithm
Bounded Radius Routing
Coverage and Connectivity in Sensor Networks
Minimum Spanning Trees
Network Flow-based Bipartitioning
TimberWolf 7.0 Placement Perform TimberWolf placement
EE4271 VLSI Design, Fall 2016 VLSI Channel Routing.
L-Shaped RST Routing Perform L-RST using node b as the root
離散數學 DISCRETE and COMBINATORIAL MATHEMATICS
Homework #6: Local Access Design
Zero Skew Clock tree Implementation
FLUTE: Fast Lookup Table Based RSMT Algorithm for VLSI Design
Presentation transcript:

Steiner Min/Max Tree Routing Route the nets onto 5×5 grid Edge capacity is 3 Route nets in the given order Two phases: SMMT-phase (use cj = 2.0) and SP-phase n1 = {(1,0), (0,3), (3,2), (3,4)} n2 = {(0,2), (3,0), (4,3)} n3 = {(1,1), (2,2), (4,0), (4,4)} n4 = {(0,0), (2,1), (1,3), (4,1), (2,4)} n5 = {(2,0), (0,4), (4,2), (3,3)} Practical Problems in VLSI Physical Design

SMMT-Phase Route first net Net n1: HPBB = 7, edge weights = 0 (no edge usage yet) MST is not unique SMMT: max-weight = 0, wirelength = 9 < 2.0 (= cj) × 7 Practical Problems in VLSI Physical Design

SMMT-Phase Route second net Net n2: HPBB = 7, edge weights reflect routing of n1 SMMT: max-weight = 0, wirelength = 10 < 2.0 × 7 Practical Problems in VLSI Physical Design

SMMT-Phase Route third net Net n3: HPBB = 7, edge weights reflect routing of n1 and n2 SMMT: max-weight = 1, wirelength = 15 > 2.0 × 7 !! So we reject this SMMT (routing failed) Practical Problems in VLSI Physical Design

SMMT-Phase Route fourth net Net n4: HPBB = 8, edge weights reflect routing of n1 and n2 SMMT: max-weight = 1, wirelength = 15 < 2.0 × 8 Practical Problems in VLSI Physical Design

SMMT-Phase Route fifth net Net n5: HPBB = 8, edge weights reflect routing of n1, n2, n4 SMMT: max-weight = 1, wirelength = 12 < 2.0 × 8 Practical Problems in VLSI Physical Design

Summary of SMMT-Phase Practical Problems in VLSI Physical Design

SP-Phase Reroute first net SMMT(n1): wirelength = 9 Source node s: (3,2) (= arrow), geometric center among terminals Sinks are added to s in this order: (3,4), (0,3), (1,0) SP(n1): wirelength = 8 Practical Problems in VLSI Physical Design

SP-Phase Reroute second net SMMT(n2): wirelength = 10 Routing graph reflects rerouting of n1, i.e., SP(n1) Source node s = (3,0), sinks are added (4,3), (0,2) SP(n2): wirelength = 7 Practical Problems in VLSI Physical Design

SP-Phase Reroute third net SMMT(n3): does not exist due to routing failure Routing graph reflects rerouting of n1 and n2 Source node s = (2,2), sinks are added (1,1), (4,0), (4,4) SP(n3): wirelength = 9 Practical Problems in VLSI Physical Design

SP-Phase Reroute fourth net SMMT(n4): wirelength = 15 Routing graph reflects rerouting of n1, n2, n3 Source node s = (2,1), sinks are added (4,1), (0,0), (1,3), (2,4) SP(n4): wirelength = 9 Practical Problems in VLSI Physical Design

SP-Phase Reroute fifth net SMMT(n5): wirelength = 12 Routing graph reflects rerouting of n1, n2, n3, n4 Source node s = (2,0), sinks are added (4,2), (3,3), (0,4) SP(n5): wirelength = 9 Practical Problems in VLSI Physical Design

Summary of SP-Phase Practical Problems in VLSI Physical Design

SMMT vs SP SMMT promotes Even usage of the edges (= less congestion) Not a fair comparison since n3 is missing in SMMT Still SMMT tends to minimize congestion Practical Problems in VLSI Physical Design

SMMT vs SP (cont) SP promotes Shorter wirelength, higher weight (= more congestion) Congestion vs wirelength tradeoff exists Practical Problems in VLSI Physical Design