Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 9/30/2011

Slides:



Advertisements
Similar presentations
1/1/ / faculty of Electrical Engineering eindhoven university of technology Speeding it up Part 3: Out-Of-Order and SuperScalar execution dr.ir. A.C. Verschueren.
Advertisements

1 Lecture 11: Modern Superscalar Processor Models Generic Superscalar Models, Issue Queue-based Pipeline, Multiple-Issue Design.
Computer Structure 2014 – Out-Of-Order Execution 1 Computer Structure Out-Of-Order Execution Lihu Rappoport and Adi Yoaz.
CSE 490/590, Spring 2011 CSE 490/590 Computer Architecture VLIW Steve Ko Computer Sciences and Engineering University at Buffalo.
Spring 2003CSE P5481 Reorder Buffer Implementation (Pentium Pro) Hardware data structures retirement register file (RRF) (~ IBM 360/91 physical registers)
CS 211: Computer Architecture Lecture 5 Instruction Level Parallelism and Its Dynamic Exploitation Instructor: M. Lancaster Corresponding to Hennessey.
1 Lecture 7: Out-of-Order Processors Today: out-of-order pipeline, memory disambiguation, basic branch prediction (Sections 3.4, 3.5, 3.7)
Trace Caches J. Nelson Amaral. Difficulties to Instruction Fetching Where to fetch the next instruction from? – Use branch prediction Sometimes there.
1 Lecture 9: Dynamic ILP Topics: out-of-order processors (Sections )
Ch2. Instruction-Level Parallelism & Its Exploitation 2. Dynamic Scheduling ECE562/468 Advanced Computer Architecture Prof. Honggang Wang ECE Department.
Implementing Precise Interrupts in Pipelined Processors James E. Smith Andrew R.Pleszkun Presented By: Ravikumar Source:
© Wen-mei Hwu and S. J. Patel, 2005 ECE 412, University of Illinois Lecture Instruction Execution: Dynamic Scheduling.
Computer Architecture: Out-of-Order Execution
Spring 2003CSE P5481 Precise Interrupts Precise interrupts preserve the model that instructions execute in program-generated order, one at a time If an.
Implementing Precise Interrupts in Pipelined Processors James E. Smith Andrew R.Pleszkun Presented By: Shrikant G.
Computer Architecture: Out-of-Order Execution II
OOO Pipelines - II Smruti R. Sarangi IIT Delhi 1.
1 Lecture: Out-of-order Processors Topics: a basic out-of-order processor with issue queue, register renaming, and reorder buffer.
Out-of-order execution Lihu Rappoport 11/ MAMAS – Computer Architecture Out-Of-Order Execution Dr. Lihu Rappoport.
15-740/ Computer Architecture Lecture 12: Issues in OoO Execution Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 10/7/2011.
Samira Khan University of Virginia Feb 9, 2016 COMPUTER ARCHITECTURE CS 6354 Precise Exception The content and concept of this course are adapted from.
1 Lecture 10: Memory Dependence Detection and Speculation Memory correctness, dynamic memory disambiguation, speculative disambiguation, Alpha Example.
15-740/ Computer Architecture Lecture 7: Out-of-Order Execution Prof. Onur Mutlu Carnegie Mellon University.
CS 352H: Computer Systems Architecture
Dynamic Scheduling Why go out of style?
15-740/ Computer Architecture Lecture 4: Pipelining
15-740/ Computer Architecture Lecture 3: Performance
Precise Exceptions and Out-of-Order Execution
Computer Architecture Lecture 14: Out-of-Order Execution
Design of Digital Circuits Lecture 18: Out-of-Order Execution
15-740/ Computer Architecture Lecture 21: Superscalar Processing
Smruti R. Sarangi IIT Delhi
PowerPC 604 Superscalar Microprocessor
CIS-550 Advanced Computer Architecture Lecture 10: Precise Exceptions
15-740/ Computer Architecture Lecture 7: Pipelining
Lecture: Out-of-order Processors
Module: Handling Exceptions
Prof. Onur Mutlu Carnegie Mellon University Spring 2014, 2/21/2014
Sequential Execution Semantics
Lecture 6: Advanced Pipelines
Lecture 16: Core Design Today: basics of implementing a correct ooo core: register renaming, commit, LSQ, issue queue.
Lecture 10: Out-of-order Processors
Lecture 11: Out-of-order Processors
Lecture: Out-of-order Processors
Superscalar Pipelines Part 2
Lecture 18: Core Design Today: basics of implementing a correct ooo core: register renaming, commit, LSQ, issue queue.
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
Smruti R. Sarangi IIT Delhi
Lecture 11: Memory Data Flow Techniques
Out-of-Order Commit Processor
15-740/ Computer Architecture Lecture 24: Control Flow
Lecture 8: Dynamic ILP Topics: out-of-order processors
Adapted from the slides of Prof
15-740/ Computer Architecture Lecture 5: Precise Exceptions
Lecture 7: Dynamic Scheduling with Tomasulo Algorithm (Section 2.4)
15-740/ Computer Architecture Lecture 14: Runahead Execution
* From AMD 1996 Publication #18522 Revision E
Adapted from the slides of Prof
Instruction-Level Parallelism (ILP)
15-740/ Computer Architecture Lecture 10: Out-of-Order Execution
Prof. Onur Mutlu Carnegie Mellon University
Lecture 9: Dynamic ILP Topics: out-of-order processors
Conceptual execution on a processor which exploits ILP
Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 2/15/2013
Design of Digital Circuits Lecture 15: Pipelining Issues
Prof. Onur Mutlu Carnegie Mellon University Spring 2015, 2/11/2015
Spring 2019 Prof. Eric Rotenberg
Design of Digital Circuits Lecture 16: Out-of-Order Execution
ECE 721 Modern Superscalar Microarchitecture
Prof. Onur Mutlu Carnegie Mellon University
Presentation transcript:

Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 9/30/2011 15-740/18-740 Computer Architecture Lecture 9: More on Precise Exceptions Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 9/30/2011

Reminder: Papers to Read and Review Review Set 5 – due October 3 Monday Smith and Plezskun, “Implementing Precise Interrupts in Pipelined Processors,” IEEE Transactions on Computers 1988 (earlier version: ISCA 1985). Sprangle and Carmean, “Increasing Processor Performance by Implementing Deeper Pipelines,” ISCA 2002. Review Set 6 – due October 7 Friday Tomasulo, “An Efficient Algorithm for Exploiting Multiple Arithmetic Units,” IBM Journal of R&D, Jan. 1967. Smith and Sohi, “The Microarchitecture of Superscalar Processors,” Proc. IEEE, Dec. 1995.

Review of Last Lecture Causes of stalls in pipelining Fine-grained multithreading Multi-cycle execute Exceptions vs. interrupts Precise exceptions Why? Reorder buffer Intro to register renaming

Today More solutions to enable precise exceptions

Solutions to Enable Precise Exceptions Reorder buffer History buffer Future register file Checkpointing Reading Smith and Plezskun, “Implementing Precise Interrupts in Pipelined Processors” IEEE Trans on Computers 1988 and ISCA 1985. Hwu and Patt, “Checkpoint Repair for Out-of-order Execution Machines,” ISCA 1987.

Review: Solution I: Reorder Buffer (ROB) Idea: Complete instructions out-of-order, but reorder them before making results visible to architectural state When instruction is decoded it reserves an entry in the ROB When instruction completes, it writes result into ROB entry When instruction oldest in ROB and it has completed, its result moved to reg. file or memory Func Unit Register File Instruction Cache Reorder Buffer Func Unit Func Unit

Review: Reorder Buffer: Independent Operations Results first written to ROB, then to register file at commit time What if a later operation needs a value in the reorder buffer? Read reorder buffer in parallel with the register file. How? F D E R W F D E R W F D E R W F D E R W F D E E E E E E E E R W F D E R W F D E R W

Review: Reorder Buffer: How to Access? A register value can be in the register file, reorder buffer, (or bypass paths) Register File Instruction Cache Func Unit Func Unit Content Addressable Memory (searched with register ID) Reorder Buffer Func Unit bypass path

Review: Simplifying Reorder Buffer Access Idea: Use indirection Access register file first If register not valid, register file stores the ID of the reorder buffer entry that contains (or will contain) the value of the register Mapping of the register to a ROB entry Access reorder buffer next What is in a reorder buffer entry? Can it be simplified further? V DestRegID DestRegVal StoreAddr StoreData BranchTarget PC/IP Control/valid bits

Review: Register Renaming with a Reorder Buffer Output and anti dependencies are not true dependencies WHY? The same register refers to values that have nothing to do with each other They exist due to lack of register ID’s (i.e. names) in the ISA The register ID is renamed to the reorder buffer entry that will hold the register’s value Register ID  ROB entry ID Architectural register ID  Physical register ID After renaming, ROB entry ID used to refer to the register This eliminates anti- and output- dependencies Gives the illusion that there are a large number of registers

Reorder Buffer Pros and Cons Conceptually simple for supporting precise exceptions Con Reorder buffer needs to be accessed to get the results that are yet to be written to the register file CAM or indirection  increased latency and complexity

Solution II: History Buffer (HB) Idea: Update architectural state when instruction completes, but UNDO UPDATES when an exception occurs When instruction is decoded, it reserves an HB entry When the instruction completes, it stores the old value of its destination in the HB When instruction is oldest and no exceptions/interrupts, the HB entry discarded When instruction is oldest and an exception needs to be handled, old values in the HB are written back into the architectural state from tail to head

History Buffer Advantage: Disadvantage: Register file contains up-to-date values. History buffer access not on critical path Disadvantage: Need to read the old value of the destination Need to unwind the history buffer upon an exception  increased exception/interrupt handling latency Func Unit Register File Instruction Cache History Buffer Func Unit Func Unit Used only on exceptions

Solution III: Future File (FF) Idea: Keep two register files: Arch reg file: Updated in program order for precise exceptions Future reg file: Updated as soon as an instruction completes (if the instruction is the youngest one to write to a register) Future file is used for fast access to latest register values Architectural file is used for recovery on exceptions

Future File Advantage Disadvantage No sequential scanning of history buffer: Upon exception, simply copy arch file to future file No need for extra read of destination value Disadvantage Multiple register files + reorder buffer Func Unit Future File Arch. File Instruction Cache ROB Func Unit Func Unit Data or Tag V Used only on exceptions

Checkpointing Idea: Periodically checkpoint the register file state. When exception/interrupt occurs, go back to the most recent checkpoint and re-execute instructions one by one to re-generate exception. State guaranteed to be precise only at checkpoints. Advantage: Per-instruction reorder buffer is not needed Allows for aggressive execution between checkpoints Disadvantage: Interrupt latency depends on distance from checkpoint Number of checkpoints? Hwu and Patt, “Checkpoint Repair for Out-of-order Execution Machines,” ISCA 1987.

Summary: Precise Exceptions in Pipelining When the oldest instruction ready-to-be-retired is detected to have caused an exception, the control logic Recovers architectural state (register file, IP, and memory) Flushes all younger instructions in the pipeline Saves IP and registers (as specified by the ISA) Redirects the fetch engine to the exception handling routine Vectored exceptions

Pipelining Issues: Branch Mispredictions A branch misprediction resembles an “exception” Except it is not visible to software What about branch misprediction recovery? Similar to exception handling except can be initiated before the branch is the oldest instruction All three state recovery methods can be used Difference between exceptions and branch mispredictions? Branch mispredictions more common: need fast recovery

Pipelining Issues: Stores Handling out-of-order completion of memory operations UNDOing a memory write more difficult than UNDOing a register write. Why? One idea: Keep store address/data in reorder buffer How does a load instruction find its data? Store/write buffer: Similar to reorder buffer, but used only for store instructions Program-order list of un-committed store operations When store is decoded: Allocate a store buffer entry When store address and data become available: Record in store buffer entry When the store is the oldest instruction in the pipeline: Update the memory address (i.e. cache) with store data

Summary: Precise Exceptions in Pipelining When the oldest instruction ready-to-be-retired is detected to have caused an exception, the control logic Recovers architectural state (register file, IP, and memory) Flushes all younger instructions in the pipeline Saves IP and registers (as specified by the ISA) Redirects the fetch engine to the exception handling routine

Putting It Together: In-Order Pipeline with Future File Decode (D): Access future file, allocate entry in reorder buffer, store buffer, check if instruction can execute, if so dispatch instruction Execute (E): Instructions can complete out-of-order, store-load dependencies determined Completion (R): Write result to reorder/store buffer Retirement/Commit (W): Write result to architectural register file or memory In-order dispatch/execution, out-of-order completion, in-order retirement Integer add E Integer mul E R W F D FP mul E E . . . Load/store