Power Delivery Network Optimization for Low Power SoC

Slides:



Advertisements
Similar presentations
Page 1 Group/Presentation Title Agilent Restricted 8 January 2014 Remove this slide before customer presentation This is the slide set that should be used.
Advertisements

An Optimized Cost/Performance PDS Design Using OptimizePI
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
ITRS Roadmap Design + System Drivers Makuhari, December 2007 Worldwide Design ITWG Good morning. Here we present the work that the ITRS Design TWG has.
Work in progress – do not publish RF&A/MS 1-page update Analog - carrier Frequency bands LF Analog (0-0.4GHz)RF (0.4-30GHz)mm-wave (30-300GHz)THz (>300GHz)
Page 1 TERASPEED CONSULTING GROUP 2007, IPBLOX LLC, Teraspeed Consulting Group LLC All Rights Reserved Using a Spatial View to Understand and Solve Common.
Practical techniques and tips for probing and de-embedding.
1 Networks for Multi-core Chip A Controversial View Shekhar Borkar Intel Corp.
Packaging.
Mobius Microsystems Microsystems Mbius Slide 1 of 21 A 9.2mW 528/66/50MHz Monolithic Clock Synthesizer for Mobile µP Platforms Custom Integrated Circuits.
Transition between real sinusoidal signals (“time domain”)
Exploring 3D Power Distribution Network Physics
1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
Hardware Design of a 1 GHz Amplifier and Initial Comparison with SimRF Application Note K. Wang, R. Ludwig, S. Bitar, S. Makarov Aug 21, 2011.
1CADENCE DESIGN SYSTEMS, INC. Using Allegro PCB SI to Analyze a Board’s Power Delivery System from Power Source to Die Pad International Cadence Usergroup.
Analysis & Design.  Where Filters are used?  Chronological evolution – techniques  Integrated Circuits Support  Other kinds of Filters  Contents.
The continuous scaling trends of smaller devices, higher operating frequencies, lower power supply voltages, and more functionalities for integrated circuits.
Impact and Modeling of Anti-Pad Array on Power Delivery System
EMC Models.
Power Integrity Analysis and Optimization in the Substrate Design Harini M, Zakir H, Sukumar M.
Clock Distribution Scheme using Coplanar Transmission Lines Victor Cordero Sunil P Khatri Department of ECE Texas A&M University
Design Automation for VLSI, MS-SOCs & Nanotechnologies Dr. Malgorzata Chrzanowska-Jeske Mixed-Signal System-on-Chip (supported.
Microwave Interference Effects on Device,
EMC Technology Roadmapping: A Long-Term Strategy Marcel van Doorn Philips Applied Technologies EM&C Competence Center Eindhoven, The Netherlands, March.
POWER FACTOR CORRECTION
 Distortion – the alteration of the original shape of a waveform.  Function of distortion analyzer: measuring the extent of distortion (the o/p differs.
High Speed, high common mode immunity communication interface Team May12-05 Chendong Yang Mengfei Xu Advisor: Nathan Neihart Client: RBC Medical Development.
A Fast Evaluation of Power Delivery System Input Impedance of Printed Circuit Boards with Decoupling Capacitors Jin Zhao Sigrity Inc.
Radio-Frequency Effects in Integrated Circuits
PilJae Park 2/23/2007 Slide 1 Transmit/Receive (T/R) Switch Topology Comparison Series-series Topology Series-shunt Topology High impedance block  In.
Power supply and substrate noise analysis; Reference tool experience with silicon validation Yoji Bando *1*4, Daisuke Kosaka *4, Goichi Yokomizo *2, Kunihiko.
University of California San Diego
EMC Models. 2 September 15 1.Models, what for ? 2.IC Models for EMC 3.Core Model 4.Package model 5.Test-bench models 6.Emission measurements/simulations.
Technion – Israel Institute of Technology Department of Electrical Engineering Winter 2009 Instructor Amit Berman Students Evgeny Hahamovich Yaakov Aharon.
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
1 4. EMC measurement methods. 2 Why EMC standard measurement methods Check EMC compliance of ICs, equipments and systems Comparison of EMC performances.
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
ECO Methodology for Very High Frequency Microprocessor Sumit Goswami, Srivatsa Srinath, Anoop V, Ravi Sekhar Intel Technology, Bangalore, India Introduction.
Origin of Emission and Susceptibility in ICs
The World Leader in High-Performance Signal Processing Solutions Design a Clock Distribution for a WCDMA Transceiver System CSNDSP 2006 Session: B.11 Systems.
Jitter Experiment Final presentation Performed by Greenberg Oleg Hahamovich Evgeny Spring 2008 Supervised by Mony Orbah.
Fang Gong HomeWork 6 & 7 Fang Gong
Continuum Simulation for Power Integrity Analysis Raj Nair Dec. 16, 2010.
EMC Models. March IC designers want to predict EMC before fabrication Models – What for ? Noise margin Switching Noise on Vdd IC designers want.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
DESIGN, CONTROL AND IMPLEMENTATION OF INVERTERS TOPOLOGIES APPLIED TO DOMESTIC INDUCTION HEATING Student: Peter Doyle Supervisor: Prof. Gerard Hurley Ignacio.
Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction Yiyu Shi*, Jinjun Xiong +, Howard Chen + and Lei He* *Electrical.
Impact of High Impedance Mid-Frequency Noise on Power Delivery Jennifer Hsiao-Ping Tsai.
Nanoscale Power Delivery & PI Overview  The Power Integrity (PI) Wall  PI Analysis  Management & Innovation Potential  Publications & Discussion Raj.
Meteor Receiver Andrew Thoni Charlie Hunter Greg Watkins Nick Nicholson Will Marshall.
1 Decoupling Capacitors Requirements Intel - Microprocessor power levels in the past have increased exponentially, which has led to increased complexity.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
VISION INNOVATIVE SYSTEMS, #26, 4 th Cross, 1 st Main, Ittamadu, BSK 3 rd Stage (Near SAI Motors on Kathriguppe 100ft Ring road), Bangalore – ,
1 Characterization and modeling of the supply network from an integrated circuit up to 12 GHz C. Labussière (1), G. Bouisse (1), J. W. Tao (2), E. Sicard.
Company Confidential | ©2009 Micron Technology, Inc. | 1 Micron Contact: Tim Hollis February 16 University of Utah Senior.
Power Line Communication for Hybrid Power/Signal Pin SOC Design CK Cheng CSE Dept, UC San Diego.
Dr Danielle Kettle1 LNA progress 4 th SKADS Workshop, Lisbon, 2-3 October 2008 LNA progress.
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
Copyright © 2009, Intel Corporation. All rights reserved. Power Gate Design Optimization and Analysis with Silicon Correlation Results Yong Lee-Kee, Intel.
EMC Models.
Background Reading Chap 12.12, 13.7 Hall, Hall & McCall Chap. 6.2
Performing AC Analyses on PFC Converters
Nanoscale Power Delivery & PI
Active/3D Packaging Value and Applications
Homework 3 (Due 3/10) Submit code and report to:
Homework 3 (Due 3/10) Submit code and report to:
Overview Motivation (Kevin) Thermal issues (Kevin)
Yiyu Shi*, Jinjun Xiong+, Howard Chen+ and Lei He*
A High Performance SoC: PkunityTM
Overview Motivation (Kevin) Thermal issues (Kevin)
Presentation transcript:

Power Delivery Network Optimization for Low Power SoC Anil Gundurao Melinda Yang Eileen You Harpreet Gill System LSI SoC Bay Area R&D Samsung Semiconductor Inc. This presentation talks about the analysis and optimization of the power delivery network for low power SoC. It is based on authors’ experience on designing advanced Low power Mobile and Server chips at Samsung Semiconductor Inc.

SoC Power Integrity Challenges 28nm SoC flip chip package 10M+ instances, 500+ macros 3 operating voltages 50+ clock domains Complexity of simulating PDN SoC complexity: Size, Modes/corners, voltage domains System complexity: Board and Package Early analysis and optimizations Model, Analyze and Optimize System PI analysis Add more detailed models in phases PCB Package Die VRM Decaps Decap SoC designs have many functional blocks and millions of instances which are different in operation and requirements. With higher frequency and faster response time requirements, this puts a stringent requirement on the power supply noise budget. As the process node advances, the on-chip wires and vias get very resistive. Low power designs also mean multiple domains to be analyzed with different voltages. On top of that, system design of board and package adds complications, and have huge impact on the system resonance and noise behavior. The complicated system model may lead to stability and convergence problems for simulations. - Signoff stage is too late for changes …. - Strong interaction Since package/board designs are also concurrent with chip design, it is difficult to get an extracted netlist early in the design cycle. It is also difficult to get vectors for chip activity early in design cycle. Without the early System model, waiting on a full DvD analysis run late in design phase leaves us with very few options to fix the Power Integrity issues seen.

Power Delivery Network Model Pkg Decap PDN is a complex network of interconnects that delivers charge from a VRM to devices on a chip. Shown here is the representative PDN system which is hierarchically modeled with different stages: VRM, PCB, PKG and the chip. This modeling will provide a system level framework for the PDN simulation. Using the model, we can simulate and observe supply waveform at the die supply pads. So, the strategy is to minimize the impact of design parameters on the PDN by: Generating the System PDN model to enable early system level analysis for Package and board design Model Package and board impedance at an early stage for dynamic voltage drop analysis Build options for on chip, package and board decaps In the detailed DvD analysis for signoff of the on-chip grid from the Pad to logic gates, we use the commercial DvD tools. Early System Model Signoff Model Board Lumped RLC Full Wave Package Chip Chip power model Physical database

Generating chip model Estimate chip impedance Intrinsic and Intentional decap Estimate Rdie / Cdie at operating frequencies Chip impedance can be modeled as a simple R and C model. Total effective C is composed of: C(intrinsic of devices), C(decaps), C(grid) and C(load). Each of these can be estimated based on library data, die size, floorplan/power plan and number of devices. Based on the frequency of operation of each mode, the impedance will vary. It will be necessary to tune the model to each operating mode. As SoC has many non-switching gates that act as intrinsic decaps, adding intentional decap reduces the noise level, but it may not be a significant reduction. Operating freq

Z11 Plots Comparison Board LC Decap Self-resonance Lpkg Cdie Impedance log-log plot BoardR Since DvD is mainly dependent on System Impedance, it is critical to analyze the frequency domain response. This plot compares 4 different simulation options with and without the board model And with package including and not including the embedded decaps. Adding the board model elevates the lower frequency range inductance value. The embedded decaps lowers the whole impedance curve. As the Z11 is a function of frequency, the final noise level also depends on the frequency content of the current. If the system operation doesn’t have frequency components close to the resonance peak, system parameters do not have much impact on the noise. However if the system has activities like transition from a quiet mode to active, the system resonance could introduce huge noise. Frequency Board + Pkg (embedded decap) + Die Board + Pkg (substrate only) + Die Die + Pkg (with embedded decap) Die + Pkg (Substrate only) Adding board model changes the Z11 plots Impact on time-domain noise depends on freq content

Understanding Current Signature Demand current = f (circuit switching activity) FFT (current) Mode 1 Mode 2 Energy concentrated at harmonics of 50MHz FFT up to 500MHz Energy concentrated > 500MHz The other component of chip power model is the current load (demand current). The frequency signature of the demand currents is important. Here are two representative FFT plots for two vectors: Mode1 and Mode2. Mode1 has more middle frequency content with distinctive peaks at 50MHz and its multiples. Mode2 has frequency contents concentrated towards the higher frequency, like more than 500MHz. As a typical PDN impedance curve has resonant frequencies in the middle range, Mode1 will induce much more noise. The design, board and package parameters make huge impact on the noise. However, Mode2 will be more immune, as the high frequency contents are already bypassed by the on-chip decaps. FFT up to 3GHz

Optimization: Impact of PDN components Adding on-chip decaps Changing Package model Adding embedded decaps Updating board Model -- Board + Pkg (embed decap) -- Pkg (no decap) -- Board+ Pkg (no decap) -- Pkg (embed decap) Voltage at Pads To start looking at the impact of different PDN components on the Power Integrity, first we look at on-chip decaps. On-chip decaps can have a big impact on the noise value. It not only moves the system resonance peak and value, but also impact the ability of bypassing the high frequency noise. The total decap amount is lumped and represented in the System PI model. However, to distribute the decaps effectively on the chip, we can use the DvD tool. As an experiment, we varied the on-chip intentional decap amount by 2x to 6x. The table shows the impact of on-chip decap citing the results from DvD tool and comparing it the System PI results (he second set of columns shown). Again, the results correlate well within 10-15% percent. Sim Time

Impact of On-chip Decap on DvD No Decap With Decap VCD1 These plots show the specific zoomed in regions on the SoC where the On-chip decap impact can be seen. These are 2 different vectors showing a similar trend. Adding the On-chip decap helps to reduce the DvD in specific local spots as expected. In practice, the overall gain the reducing worst case noise will be limited by how much intentional decap can be added to a design. VCD2

On-Chip Decap & Package Core Thickness Impact Here, the impact of On-chip decap and package variations is shown in the histograms. Increasing the amount of on chip decap causes the histogram to shift towards left (less DvD) The bottom plot shows the histogram of Instance DvD with 2 different packages. Using a thicker package causes a slight constant shift in the DvD of all instances. The shift can attributed to the change in impedance of the package.

Impact of Package and Board impedance Including Board impedance impacts DvD results Having Package/ Board decaps will also impact DvD Significant DvD impact is seen in certain modes due to board impedance. Similar DvD impact is seen with Package and Board decaps included. The Instance voltage drop waveform shows how the decaps and the board impact the voltage drop at a worst instance. Including the Board model introduces a fixed drop on top of the previously seen DvD. The decaps smoothen out the noise peaks. So its important to include board and embedded decap models also in DvD simulations.

Summary For Power Integrity verification: Model the system early Critical to model all components of the system PDN Time-domain and Frequency domain analysis Model the system early Estimated and lumped models to predict the PDN response Use the system model to study effects of different PDN parameters. In conclusion, We make a strong case for simulating a complete PDN for verifying Power Integrity. Just doing a chip level DvD analysis in isolation will not lead to accurate analysis and not help optimize the PDN. In addition to the time domain voltage drop analysis, need to do a frequency domain analysis to understand PDN response. We have seen how the System PI simulation can be used to optimize the PDN. The results from System PI simulation correlate well with a full DvD analysis done at signoff stages. References: “Package Modeling and Verification for On-Chip Power Integrity Analysis”, Li H., You E., Gill H., Samsung, User Track 49th DAC, San Francisco, June 2012. “Applying a CPM in System Power Integrity Analysis”, Brooks R., Lin J., Harisharan U., UserTrack of 46th DAC, June 2009. “Understanding Power Integrity as a System wide challenge”, Elmore M., Electronic Design, April 2012. System PI Model Compare Detailed DVD Database