Babak Sorkhpour, Prof. Roman Obermaisser, Ayman Murshed

Slides:



Advertisements
Similar presentations
A Complex Event Processing Architecture for Energy and Operation Management Jimi Wen July New York 1.
Advertisements

Energy-efficient Task Scheduling in Heterogeneous Environment 2013/10/25.
1 “Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation In Multi-processor Real-Time Systems” Dakai Zhu, Rami Melhem, and Bruce Childers.
1 A Self-Tuning Cache Architecture for Embedded Systems Chuanjun Zhang*, Frank Vahid**, and Roman Lysecky *Dept. of Electrical Engineering Dept. of Computer.
A Cyber-Physical Systems Approach to Energy Management in Data Centers Presented by Chen He Adopted form the paper authors.
Extended Gantt-chart in real-time scheduling for single processor
L i a b l eh kC o m p u t i n gL a b o r a t o r y Performance Yield-Driven Task Allocation and Scheduling for MPSoCs under Process Variation Presenter:
Power Aware Solutions for NoC Architecture Yaniv Ben-Itzhak Noc Seminar Winter 08.
System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation Xiliang Zhong and Cheng-Zhong Xu Dept. of Electrical & Computer Engg.
1 Scheduling Mapping of tasks to time slots  Computation  Communication Mapping of power usage to time slots  Mechanical devices  Thermal subsystems.
Problem Background Motivation Design a task and bus scheduling tool that works with the automotive design process and captures the constraints that the.
CEM 514 Modeling of Construction Operations The LP/IP hybrid method for construction time-cost trade-off analysis By Samir Abdallah Sulaiman.
VOLTAGE SCHEDULING HEURISTIC for REAL-TIME TASK GRAPHS D. Roychowdhury, I. Koren, C. M. Krishna University of Massachusetts, Amherst Y.-H. Lee Arizona.
Power Issues in On-chip Interconnection Networks Mojtaba Amiri Nov. 5, 2009.
Baoxian Zhao Hakan Aydin Dakai Zhu Computer Science Department Computer Science Department George Mason University University of Texas at San Antonio DAC.
Network Aware Resource Allocation in Distributed Clouds.
Computer Science Department University of Pittsburgh 1 Evaluating a DVS Scheme for Real-Time Embedded Systems Ruibin Xu, Daniel Mossé and Rami Melhem.
Déjà Vu Switching for Multiplane NoCs NOCS’12 University of Pittsburgh Ahmed Abousamra Rami MelhemAlex Jones.
Software Pipelining for Stream Programs on Resource Constrained Multi-core Architectures IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEM 2012 Authors:
SoftCOM 2005: 13 th International Conference on Software, Telecommunications and Computer Networks September 15-17, 2005, Marina Frapa - Split, Croatia.
1 EE5900 Advanced Embedded System For Smart Infrastructure Energy Efficient Scheduling.
Energy Aware Task Mapping Algorithm For Heterogeneous MPSoC Based Architectures Amr M. A. Hussien¹, Ahmed M. Eltawil¹, Rahul Amin 2 and Jim Martin 2 ¹Wireless.
1 Customer-Aware Task Allocation and Scheduling for Multi-Mode MPSoCs Lin Huang, Rong Ye and Qiang Xu CHhk REliable computing laboratory (CURE) The Chinese.
1 Exploring Custom Instruction Synthesis for Application-Specific Instruction Set Processors with Multiple Design Objectives Lin, Hai Fei, Yunsi ACM/IEEE.
An Energy-Efficient Hypervisor Scheduler for Asymmetric Multi- core 1 Ching-Chi Lin Institute of Information Science, Academia Sinica Department of Computer.
A Graph Based Algorithm for Data Path Optimization in Custom Processors J. Trajkovic, M. Reshadi, B. Gorjiara, D. Gajski Center for Embedded Computer Systems.
Hard Real-Time Scheduling for Low- Energy Using Stochastic Data and DVS Processors Flavius Gruian Department of Computer Science, Lund University Box 118.
Presented By Dr. Mohsen Alardhi College of Technological Studies, Kuwait April 19 th,2009.
NC STATE UNIVERSITY 1 Feedback EDF Scheduling w/ Async. DVS Switching on the IBM Embedded PowerPC 405 LP Frank Mueller North Carolina State University,
Dynamic Voltage Frequency Scaling for Multi-tasking Systems Using Online Learning Gaurav DhimanTajana Simunic Rosing Department of Computer Science and.
CUHK Learning-Based Power Management for Multi-Core Processors YE Rong Nov 15, 2011.
Run-time Adaptive on-chip Communication Scheme 林孟諭 Dept. of Electrical Engineering National Cheng Kung University Tainan, Taiwan, R.O.C.
Networks-on-Chip (NoC) Suleyman TOSUN Computer Engineering Deptartment Hacettepe University, Turkey.
Energy-Aware Scheduling for Aperiodic Tasks on Multi-core Processors Dawei Li and Jie Wu Department of Computer and Information Sciences Temple University,
Mixed Criticality Systems: Beyond Transient Faults Abhilash Thekkilakattil, Alan Burns, Radu Dobrin and Sasikumar Punnekkat.
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
Accounting for Load Variation in Energy-Efficient Data Centers
Xi He Golisano College of Computing and Information Sciences Rochester Institute of Technology Rochester, NY THERMAL-AWARE RESOURCE.
CprE 458/558: Real-Time Systems (G. Manimaran)1 Energy Aware Real Time Systems - Scheduling algorithms Acknowledgement: G. Sudha Anil Kumar Real Time Computing.
Workload Clustering for Increasing Energy Savings on Embedded MPSoCs S. H. K. Narayanan, O. Ozturk, M. Kandemir, M. Karakoy.
CprE 458/558: Real-Time Systems (G. Manimaran)1 CprE 458/558: Real-Time Systems Energy-aware QoS packet scheduling.
A Low-Area Interconnect Architecture for Chip Multiprocessors Zhiyi Yu and Bevan Baas VLSI Computation Lab ECE Department, UC Davis.
Determining Optimal Processor Speeds for Periodic Real-Time Tasks with Different Power Characteristics H. Aydın, R. Melhem, D. Mossé, P.M. Alvarez University.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Distributed Process Scheduling- Real Time Scheduling Csc8320(Fall 2013)
M AESTRO : Orchestrating Predictive Resource Management in Future Multicore Systems Sangyeun Cho, Socrates Demetriades Computer Science Department University.
CHaRy Software Synthesis for Hard Real-Time Systems
Combinatorial Optimization for Embedded System Design
Response time analysis in real-time distributed automotive systems
Andrea Acquaviva, Luca Benini, Bruno Riccò
Prabhat Kumar Saraswat Paul Pop Jan Madsen
A Study of Group-Tree Matching in Large Scale Group Communications
Paul Pop, Petru Eles, Zebo Peng
Ching-Chi Lin Institute of Information Science, Academia Sinica
A Dynamic Critical Path Algorithm for Scheduling Scientific Workflow Applications on Global Grids e-Science IEEE 2007 Report: Wei-Cheng Lee
ElasticTree Michael Fruchtman.
Nithin Michael, Yao Wang, G. Edward Suh and Ao Tang Cornell University
Chapter 8 - Project Management
James D. Z. Ma Department of Electrical and Computer Engineering
On-Time Network On-chip
Fault and Energy Aware Communication Mapping with Guaranteed Latency for Applications Implemented on NoC Sorin Manolache, Petru Eles, Zebo Peng {sorma,
Using Packet Information for Efficient Communication in NoCs
On-time Network On-chip
Jian-Jia Chen and Tei-Wei Kuo
ADVISOR : Professor Yeong-Sung Lin STUDENT : Hung-Shi Wang
Department of Electrical Engineering Joint work with Jiong Luo
Communication Driven Remapping of Processing Element (PE) in Fault-tolerant NoC-based MPSoCs Chia-Ling Chen, Yen-Hao Chen and TingTing Hwang Department.
Anand Bhat*, Soheil Samii†, Raj Rajkumar* *Carnegie Mellon University
Research Topics Embedded, Real-time, Sensor Systems Frank Mueller moss
Chrysostomos Koutsimanis and G´abor Fodor
Presentation transcript:

Meta-Scheduling Techniques for Energy-Efficient, Robust and Adaptive Time-Triggered Systems Babak Sorkhpour, Prof. Roman Obermaisser, Ayman Murshed Department of Electrical Engineering and Computer Science University of Siegen Germany Kbei, Tehran, Dec 2017

Agenda Introduction Basic Concepts And Related Work MILP & MIQP Meta-Scheduling Techniques for Energy-Efficient, Robust and Adaptive Time- Triggered Systems The inputs models for meta-scheduling Visualizing Scenario-based Meta-Schedules for Adaptive Time-Triggered Systems Example Scenario And Results Outputs and results Conclusion

Introduction This work is motivated to use of dynamic voltage frequency scaling (DVFS) for both communication and computation sides to solve the energy-efficient problem in the whole of NoC structure in MPSoCs. In this work, an algorithm is developed, which supports the mapping and scheduling of jobs to NoC architectures for minimizing the total energy consumption considering the time constraints and adjustable frequencies . This algorithm is intended for mixed-criticality, and safety-critical adaptive TT systems and can cover fault-tolerance requirements.

Basic Concepts And Related Work Time-Triggered Embedded Systems Worst Case Execution Time Power-Energy Saving Technique on-chip routers and links consume up to 18% in the Intel SCC, 20% in the Alpha 21364 processor, communication power takes 33% in RAW architectures [5]. [9] reported that 28%∼36% of the total chip power consumption depends on NoC energy (e.g., on-chip switches and links) consumption

MILP & MIQP If the problem contains an objective function with no quadratic term, (a linear objective), then the problem is termed a Mixed Integer Linear Program(MILP)*. However, if there is a quadratic term in the objective function, the problem is termed a Mixed Integer Quadratic Program (MIQP)*. In our work, MIQP problem is using to find a global optimum solution and so the MILP & MIQP problems are solved using the IBM CPLEX optimizer. * IBM® Knowledge Center

Power Models The total execution time (ExecTimej) and energy consumption (Energy) of a job j on a CMOS-based processor can be estimated by: ExecTime j ≈ 1 𝑓 and Power ≈ C ∙ 𝑓∙ V2 Energy= Power ∙ ExecTime Since in DVFS systems 𝑉 varies approximately with 𝑓 (𝑉 ∝ 𝑓), the performance-energy trade-off of frequency scaling can be expressed as ExecTime j ∝ 1 𝑓 and Energy ∝ 𝑓 2 [25]. 𝑉 ∝ 𝑓 →Power ≈ 𝑓3 → Energy= 𝑓3 ∙ExecTime j

The inputs models for meta-scheduling AM with constants of application (e.g., number of jobs, WCET of each job, precedence constraints). PM with constants of the platform (e.g., number of nodes, links between nodes). SM with values for decision variables (e.g., allocation to node, start time of execution). CM with fault and events details(e.g., event type, event execution time).

Static-Scheduling Collision Avoidance Constraint Connectivity Constraints Job Allocation Hop Count Path and Visited Cores

Meta-Scheduling Techniques for Energy-Efficient, Robust and Adaptive Time-Triggered Systems This technique is trying to solve scheduling problems in scenario-based scheduling and quasi-static task mapping which in some papers is called super-scheduling. In this work, a Meta-Scheduler (MES) tool to solve meta-scheduling problems developed. We propose an energy-efficient scheduling algorithm regarding dynamic-slack and slowdown factors in multi-scenario-based systems for NoC-based MPSoC.

Scheduling Decision Variables Slow Down Factors: SlowDF= j1 ⋮ ⋮ jm ∈ 𝑀𝑖𝑛,…,𝑀𝑎𝑥 ∧𝑀𝑖𝑛>0 Scheduling Constraints Job Dependency Constraints : INJECTIME[m1] + EXECTIME[j1] ∙SLOWDF[j1]+ ( ( HOPS[m1]+1 ) ∙DUR[m1] ) <= INJECTIME[m2] EXECTIME[j1]*SLOWDF[j1] <= INJECTIME[m2] Message Duration : 𝐷𝑢= du1 ⋮ ⋮ dum ∈ {1,…,𝑀𝑎𝑥} 𝑚 Message Deadlines : ∀j1 ∈ 1,…,𝑗 , ∀m1 ∈ 1,…,𝑚 ,∀ℎm1 ∈ {1,…,𝑀𝑎𝑥H } : 𝑖m1+ ℎm1+1 ∙𝐷𝑢m1≤𝐷j1

𝐶𝑃 job1 =(ExecTime j1∙(SlowDF j1) 2 ) Objective Function The objective is to maximize the energy-efficiency. In other words, it is minimizing energy consumption by increasing job execution times regarding.   ∀ job1 ∈ {1,…,j } : 𝐶𝑃 job1 =(ExecTime j1∙(SlowDF j1) 2 ) 𝑚𝑎𝑥𝑖𝑚𝑖𝑧𝑒 ( j=1 𝑗 𝐶𝑃 )

Visualization of schedules Meta-Scheduling Visualizer (MeSViz) is an advanced and applicative tool which is designed and planned to visualize and display SM contents (e.g., jobs, cores, messages, allocation, assignment. For calculating the energy consumption of static-slack SM (EnergySM), an average of all dynamic-slack SM’s (EAvgSMdynamic) and compare the results (SavingE) MeSViz is used follows the formula: EnergySM= ETji SlowDFji 2 , EAvgSMdynamic= 𝑛=1 𝑛 ESMn 𝑛 SavingE=( ESMstatic − EAvgSMdynamic ESMstatic ).100

Example Scenario And Results Input Model Input Name ID Data AM Job WCET=2 1 WCET=4 2 WCET=6 3 WCET=8 4 WCET=10 Message Quantity=6 ID start=0 Deadline All job= 1185 Slowdf All job: min =1 & max =100 SlackEvent All job= 50% PM Hop (Switch) Quantity=2 ID start=0 Core Quantity=5 ID start=6 Link

Results Static 1.875 Dynamic 1.62 13.6% 0.6675 64.4% 1.0948 41.61% SM ID Slack Mode Energy Consumption Energy Saving Static 1.875 49 Dynamic 1.62 13.6% 5 0.6675 64.4% Average 1.0948 41.61% SM ID- Note Slack Mode Job ID ET SLOWDF Static 2 4 1 6 3 8 10 49 (Minimum Energy saving) Dynamic 5 5 (Maximum Energy Saving)

Conclusion The simulation results show that our dynamic-slack algorithm, compared to the static-slack, produces a maximum of 64.4% in a single schedule and 41.61% energy-saving of NoCs on average: A novel MeS technique is used to reduce the dynamic power consumption in the schedules. MeS can be used in scenario-based (fault, safety, power-saving) scheduling and adaptivity TT systems. further work still needs to be done in the future (e.g., energy-saving on communication links, fault-injection and more scenarios related to safety). ACKNOWLEDGMENT The Europian project H2020 SAFEPOWER has supported this work under the Grant Agreement No. 687902. http://safepower-project.eu/

Thank you for your attention. Babak. Sorkhpour@uni-siegen Thank you for your attention. Babak.Sorkhpour@uni-siegen.de http://networked-embedded.de