Constrained ‘Modern’ Floorplanning

Slides:



Advertisements
Similar presentations
A Theoretical Study on Wire Length Estimation Algorithms for Placement with Opaque Blocks Tan Yan*, Shuting Li Yasuhiro Takashima, Hiroshi Murata The University.
Advertisements

Approximation algorithms for geometric intersection graphs.
Chapter 4 Partition I. Covering and Dominating.
Lindsey Bleimes Charlie Garrod Adam Meyerson
Minimum Clique Partition Problem with Constrained Weight for Interval Graphs Jianping Li Department of Mathematics Yunnan University Jointed by M.X. Chen.
Minimum Vertex Cover in Rectangle Graphs
Active Learning for Streaming Networked Data Zhilin Yang, Jie Tang, Yutao Zhang Computer Science Department, Tsinghua University.
Approximations of points and polygonal chains
An Effective Floorplanning Algorithm in Mixed Mode Placement Integrated with Rectilinear- Shaped Optimization for Soft Blocks Changqi Yang, Xianlong Hong,
1 Routing and Wavelength Assignment in Wavelength Routing Networks.
Polynomial Time Approximation Schemes Presented By: Leonid Barenboim Roee Weisbert.
Online Social Networks and Media. Graph partitioning The general problem – Input: a graph G=(V,E) edge (u,v) denotes similarity between u and v weighted.
Precedence Constrained Scheduling Abhiram Ranade Dept. of CSE IIT Bombay.
CS774. Markov Random Field : Theory and Application Lecture 17 Kyomin Jung KAIST Nov
Convex Position Estimation in Wireless Sensor Networks
Interval packing problem Multicommodity demand flow in a line Jian Li Sep
Multi-Project Reticle Design & Wafer Dicing under Uncertain Demand Andrew B Kahng, UC San Diego Ion Mandoiu, University of Connecticut Xu Xu, UC San Diego.
Fixed-outline Floorplanning Through Better Local Search
Introduction to Boosting Aristotelis Tsirigos SCLT seminar - NYU Computer Science.
1 Efficient Placement and Dispatch of Sensors in a Wireless Sensor Network Prof. Yu-Chee Tseng Department of Computer Science National Chiao-Tung University.
Constrained Floorplanning Using Network Flows Teng Wang 05/04/2004.
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
Review of Reservoir Problem OR753 October 29, 2014 Remote Sensing and GISc, IST.
CDCTree: Novel Obstacle-Avoiding Routing Tree Construction based on Current Driven Circuit Model Speaker: Lei He.
Floorplanning. Obtained by subdividing a given rectangle into smaller rectangles. Each smaller rectangle corresponds to a module.
Math – Getting Information from the Graph of a Function 1.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Fair Allocation with Succinct Representation Azarakhsh Malekian (NWU) Joint Work with Saeed Alaei, Ravi Kumar, Erik Vee UMDYahoo! Research.
Block-level 3D IC Design with Through-Silicon-Via Planning Dae Hyun Kim, Rasit Onur Topaloglu, and Sung Kyu Lim Department of Electrical and Computer Engineering,
ENCI 303 Lecture PS-19 Optimization 2
APPROXIMATION ALGORITHMS VERTEX COVER – MAX CUT PROBLEMS
BSG-Route: A Length-Matching Router for General Topology T. Yan and M. D. F. Wong University of Illinois at Urbana-Champaign ICCAD 2008.
Efficient Multi-Layer Obstacle- Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Shih-Lun Huang, Kai-Chi Hsu,Meng-Xiang Li, Yao-Wen Chang.
Linear Programming Data Structures and Algorithms A.G. Malamos References: Algorithms, 2006, S. Dasgupta, C. H. Papadimitriou, and U. V. Vazirani Introduction.
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
Network Flow. Network flow formulation A network G = (V, E). Capacity c(u, v)  0 for edge (u, v). Assume c(u, v) = 0 if (u, v)  E. Source s and sink.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
A survey of different shape analysis techniques 1 A Survey of Different Shape Analysis Techniques -- Huang Nan.
3.4 – Linear Programming. Ex. 1 Graph the system of inequalities. Name the coordinates of the vertices of the feasible region. Find the max & min values.
ASSIGNMENT, DISTRIBUTION AND QOS PROVISIONING IN COMMUNICATION NETWORKS.
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 8 Lecture 8 Network Flow Based Modeling Mustafa Ozdal Computer Engineering Department,
Efficient Placement and Dispatch of Sensors in a Wireless Sensor Network You-Chiun Wang, Chun-Chi Hu, and Yu-Chee Tseng IEEE Transactions on Mobile Computing.
1 CS270 Project Overview Maximum Planar Subgraph Danyel Fisher Jason Hong Greg Lawrence Jimmy Lin.
Skill Check Factor each polynomial completely.. 5-1: Solving Quadratic Equations by Factoring By Mr. Smith.
Impact of Interference on Multi-hop Wireless Network Performance
Prof. Yu-Chee Tseng Department of Computer Science
P & NP.
Partial Reconfigurable Designs
Approximation algorithms
CS4234 Optimiz(s)ation Algorithms
Approximation Algorithms
EMIS 8373: Integer Programming
Special Graphs: Modeling and Algorithms
CS223 Advanced Data Structures and Algorithms
Computational Geometry (35/33)
ECE 556 Project Presentation
3.4 – Linear Programming.
Approximation Algorithms
Haitao Wang Utah State University SoCG 2017, Brisbane, Australia
Network Flow CSE 373 Data Structures.
Maximum Flow Neil Tang 4/8/2008
Quadratic Graphs.
Ch09 _2 Approximation algorithm
Zero-Skew Trees Zero-Skew Tree: rooted tree in which all root-to-leaf paths have the same length Used in VLSI clock routing & network multicasting.
15th Scandinavian Workshop on Algorithm Theory
Graphical solution A Graphical Solution Procedure (LPs with 2 decision variables can be solved/viewed this way.) 1. Plot each constraint as an equation.
1.6 Linear Programming Pg. 30.
Fast Min-Register Retiming Through Binary Max-Flow
Instructor: Aaron Roth
Presentation transcript:

Constrained ‘Modern’ Floorplanning Yan Feng Dinesh P. Mehta Colorado School of Mines Hannah Yang Intel

Motivation/Assumption Fixed die formulation with zero whitespace . (A. B. Kahng, ISPD 2000) Modules shapes need not be restricted to rectangles, L-shapes, etc. (A. B. Kahng, ISPD 2000) Approximate locations and sizes for modules are already known from quadratic placement, force-directed placement, or human design. 1)The boundary is fixed 2) In other words ,the shape could be rectilinear polygon 3) Either from previous step or from the architect experience, the location and size of each module are already known

Proposed Design Flow Input No Make suggestions Bound-Feasible to make input feasible No Bound-Feasible Yes Min Cost Max Flow Based Floorplanner 1) Animation to show this paper’s work Postprocessing Step No Connected? Yes

The Constrained Modern Floorplanning Problem(CMFP) Module Center W H Required Area A (30,30) 60 2500 B (75,50) 50 100 4000 C (50,75) 100 50 3500 (0,0) (100,100) A B C (0,0) (100,100) A B C The CMFP problem is NP-hard.

Feasibility Analysis Area(BC) = 8000 A B Required(BC) = 8500 C Module Center W H Required Area A (30,30) 60 2500 B (75,50) 50 100 4000 C (50,75) 4500

Feasibility Analysis A B C D E Center Area W H A (50,50) 8000 100 B (0,0) A B C D E Center Area W H A (50,50) 8000 100 B (135,55) 12000 130 110 C (235,45) 10000 90 D (75,145) 150 E (220,125) 18000 160 (300,200)

Region Identification 3 4 11 10 5 12 15 C A 2 7 B 1 6 9 14 E 13 D

Flow-based Feasibility Analysis If the maximum network flow of graph is equal to the total required area of modules then the input is feasible.

Experiment result (ami 33) How do you get the input of ami33?

Floorplanning The result of Max Flow algorithm does not guarantee connectivity. Min Cost Max Flow Problem: each edge also has a cost a(u,v). So if f(u,v) units flow over edge (u,v), we incur a cost of a(u,v)f(u,v). Computes a maximum flow as before, but finds one of min cost. Post Processing Step greedy algorithm B A A AB B Graph connectivity Geometry connectivity

Cost Assignment Schemes The cost is assigned based on BFS on each region of module. Compromise BFS: involves adding vertices & edges to the flow graph. Details in paper. Improved BFS: combination of BFS & CBFS. 2 1 1 1 2 1 2

Comparison of Cost Schemes The initial input (center position) is obtained from previous SA result (ami33 & ami49). Size of constraining rectangle ranges from 1.96 to 3.24 times of modules’ required area. Using C++ & LEDA and the running time is about 5 secs.

Sample output: ami49

Future Work A more systematic post processing step to obtain a practical result. How to convert a infeasible input into a feasible one.