Sections 3.2 and 3.3 Dynamic Scheduling – Tomasulo’s Algorithm 吳俊興 高雄大學資訊工程學系 October 2004 EEF011 Computer Architecture 計算機結構.

Slides:



Advertisements
Similar presentations
Spring 2003CSE P5481 Out-of-Order Execution Several implementations out-of-order completion CDC 6600 with scoreboarding IBM 360/91 with Tomasulos algorithm.
Advertisements

MS108 Computer System I Lecture 7 Tomasulos Algorithm Prof. Xiaoyao Liang 2014/3/24 1.
CMSC 611: Advanced Computer Architecture Tomasulo Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted.
Scoreboarding & Tomasulos Approach Bazat pe slide-urile lui Vincent H. Berk.
Instruction-level Parallelism Compiler Perspectives on Code Movement dependencies are a property of code, whether or not it is a HW hazard depends on.
Lec18.1 Step by step for Dynamic Scheduling by reorder buffer Copyright by John Kubiatowicz (http.cs.berkeley.edu/~kubitron)
A scheme to overcome data hazards
Dynamic ILP: Scoreboard Professor Alvin R. Lebeck Computer Science 220 / ECE 252 Fall 2008.
Lecture 6: ILP HW Case Study— CDC 6600 Scoreboard & Tomasulo’s Algorithm Professor Alvin R. Lebeck Computer Science 220 Fall 2001.
COMP25212 Advanced Pipelining Out of Order Processors.
CMSC 611: Advanced Computer Architecture Scoreboard Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted.
Spring 2003CSE P5481 Reorder Buffer Implementation (Pentium Pro) Hardware data structures retirement register file (RRF) (~ IBM 360/91 physical registers)
1 COMP 206: Computer Architecture and Implementation Montek Singh Mon., Oct. 14, 2002 Topic: Instruction-Level Parallelism (Multiple-Issue, Speculation)
1 IBM System 360. Common architecture for a set of machines. Robert Tomasulo worked on a high-end machine, the Model 91 (1967), on which they implemented.
Tomasulo’s Approach and Hardware Based Speculation
ENGS 116 Lecture 71 Scoreboarding Vincent H. Berk October 8, 2008 Reading for today: A.5 – A.6, article: Smith&Pleszkun FRIDAY: NO CLASS Reading for Monday:
1 COMP 206: Computer Architecture and Implementation Montek Singh Wed, Oct 5, 2005 Topic: Instruction-Level Parallelism (Dynamic Scheduling: Scoreboarding)
CSC 4250 Computer Architectures October 13, 2006 Chapter 3.Instruction-Level Parallelism & Its Dynamic Exploitation.
Nov. 9, Lecture 6: Dynamic Scheduling with Scoreboarding and Tomasulo Algorithm (Section 2.4)
1 Sixth Lecture: Chapter 3: CISC Processors (Tomasulo Scheduling and IBM System 360/91) Please recall:  Multicycle instructions lead to the requirement.
Out-of-order execution: Scoreboarding and Tomasulo Week 2
1 Lecture 6 Tomasulo Algorithm CprE 581 Computer Systems Architecture, Fall 2009 Zhao Zhang Reading:Textbook 2.4, 2.5.
Professor Nigel Topham Director, Institute for Computing Systems Architecture School of Informatics Edinburgh University Informatics 3 Computer Architecture.
2/24; 3/1,3/11 (quiz was 2/22, QuizAns 3/8) CSE502-S11, Lec ILP 1 Tomasulo Organization FP adders Add1 Add2 Add3 FP multipliers Mult1 Mult2 From.
Chapter 3 Instruction Level Parallelism Dr. Eng. Amr T. Abdel-Hamid Elect 707 Spring 2011 Computer Applications Text book slides: Computer Architec ture:
04/03/2016 slide 1 Dynamic instruction scheduling Key idea: allow subsequent independent instructions to proceed DIVDF0,F2,F4; takes long time ADDDF10,F0,F8;
COMP25212 Advanced Pipelining Out of Order Processors.
CS203 – Advanced Computer Architecture ILP and Speculation.
Ch2. Instruction-Level Parallelism & Its Exploitation 2. Dynamic Scheduling ECE562/468 Advanced Computer Architecture Prof. Honggang Wang ECE Department.
Instruction-Level Parallelism and Its Dynamic Exploitation
IBM System 360. Common architecture for a set of machines
ECE562/468 Advanced Computer Architecture Prof. Honggang Wang
/ Computer Architecture and Design
Tomasulo’s Algorithm Born of necessity
Out of Order Processors
Dynamic Scheduling and Speculation
Step by step for Tomasulo Scheme
Tomasulo Loop Example Loop: LD F0 0 R1 MULTD F4 F0 F2 SD F4 0 R1
CS203 – Advanced Computer Architecture
CSE 520 Computer Architecture Lec Chapter 2 - DS-Tomasulo
Lecture 6 Score Board And Tomasulo’s Algorithm
Lecture 10 Tomasulo’s Algorithm
Lecture 12 Reorder Buffers
March 11, 2002 Prof. David E. Culler Computer Science 252 Spring 2002
Chapter 3: ILP and Its Exploitation
Advantages of Dynamic Scheduling
High-level view Out-of-order pipeline
11/14/2018 CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenković, Electrical and Computer.
CMSC 611: Advanced Computer Architecture
A Dynamic Algorithm: Tomasulo’s
COMP s1 Seminar 3: Dynamic Scheduling
Out of Order Processors
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
John Kubiatowicz (http.cs.berkeley.edu/~kubitron)
Adapted from the slides of Prof
Lecture 7: Dynamic Scheduling with Tomasulo Algorithm (Section 2.4)
March 11, 2002 Prof. David E. Culler Computer Science 252 Spring 2002
Advanced Computer Architecture
September 20, 2000 Prof. John Kubiatowicz
Tomasulo Organization
Reduction of Data Hazards Stalls with Dynamic Scheduling
CS5100 Advanced Computer Architecture Dynamic Scheduling
Adapted from the slides of Prof
CS252 Graduate Computer Architecture Lecture 6 Tomasulo, Implicit Register Renaming, Loop-Level Parallelism Extraction Explicit Register Renaming February.
Scoreboarding ENGS 116 Lecture 7 Vincent H. Berk October 5, 2005
/ Computer Architecture and Design
John Kubiatowicz (http.cs.berkeley.edu/~kubitron)
September 20, 2000 Prof. John Kubiatowicz
High-level view Out-of-order pipeline
Lecture 7 Dynamic Scheduling
Presentation transcript:

Sections 3.2 and 3.3 Dynamic Scheduling – Tomasulo’s Algorithm 吳俊興 高雄大學資訊工程學系 October 2004 EEF011 Computer Architecture 計算機結構

A Dynamic Algorithm: Tomasulo’s Algorithm For IBM 360/91 (before caches!) – 3 years after CDC Goal: High Performance without special compilers Small number of floating point registers (4 in 360) prevented interesting compiler scheduling of operations –This led Tomasulo to try to figure out how to get more effective registers — renaming in hardware! Why Study 1966 Computer? The descendants of this have flourished! –Alpha 21264, HP 8000, MIPS 10000, Pentium III, PowerPC 604, …

Example to eleminate WAR and WAW by register renaming Original DIV.DF0, F2, F4 ADD.DF6, F0, F8 S.DF6, 0(R1) SUB.DF8, F10, F14 MUL.DF6, F10, F8 WAR between ADD.D and SUB.D, WAW between ADD.D and MUL.D (Due to that DIV.D needs to take much longer cycles to get F0) Register renaming DIV.DF0, F2, F4 ADD.DS, F0, F8 S.DS, 0(R1) SUB.DT, F10, F14 MUL.DF6, F10, T

Tomasulo Algorithm Register renaming provided –by reservation stations, which buffer the operands of instructions waiting to issue –by the issue logic Basic idea: –a reservation station fetches and buffers an operand as soon as it is available, eliminating the need to get the operand from a register (WAR) –pending instructions designate the reservation station that will provide their input (RAW) –when successive writes to a register overlap in execution, only the last one is actually used to update the register (WAW) As instructions are issued, the register specifiers for pending operands are renamed to the names of the reservation station, which provides register renaming more reservation stations than real registers

Properties of Tomasulo Algorithm 1.Control & buffers distributed with Function Units (FU) –Hazard detection and execution control are distributed –FU buffers called “reservation stations”; have pending operands –Registers in instructions replaced by values or pointers to reservation stations(RS) »form of register renaming to avoids WAR, WAW hazards 2.Bypassing: Results passed directly to FU from RS, not through registers, over Common Data Bus –that broadcasts results to all FUs, so allows all units waiting for an operand to be loaded simultaneously –Load and Stores treated as FUs with RSs as well –Integer instructions can go past branches, allowing FP ops beyond basic block in FP queue

Figure 3.2 Basic structure of a MIPS floating-point unit using Tomasulo’s algorithm Load buffers: 1.hold components of the effected addr 2.track outstanding loads that are waiting on the memory 3.hold the results of completed loads that are waiting for the CDB Store buffers: 1.hold components of the effected addr 2.hold the destination memory addresses of outstanding stores that are waiting for the data value to store 3.hold the addr and value to store until the memory unit is available

Three Stages of Tomasulo Algorithm 1.Issue —get instruction from the head of the instruction queue If reservation station free (no structural hazard), control issues instr with the operand values (renames registers). –No free RS => there is a structural hazard –If the operands are not in the registers, keep track of FU »This step renames registers, eliminating WAR and WAW hazards 2.Execute —operate on operands (EX) When both operands ready (placed into RS), then execute; if not ready, monitor Common Data Bus for result –By delaying EX until the operands are available, RAW hazards are avoided 3.Write result —finish execution (WB) Write on Common Data Bus to the registers and the RS of all awaiting units; mark reservation station available Normal data bus: data + destination (“go to” bus) Common data bus: data + source (“come from” bus) –64 bits of data + 4 bits of Functional Unit source address –Write if matches expected Functional Unit (produces result) –Does the broadcast

7 Components of Reservation Station Op:Operation to perform in the unit (e.g., + or –) Qj, Qk: Reservation stations producing the corresponding source operand –Note: Qj,Qk=0 => ready or unnessary –Store buffers only have Qi for RS producing result Vj, Vk: Value of Source operands –Only one of V field or the Q field is valid –Store buffers has V field, result to be stored A: used to hold information for the memory address calculation for a load or a store Busy: Indicates reservation station or FU is busy Register result status Qi—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions that will write that register.

Tomasulo Example Clock cycle counter FU count down Instruction stream 3 Load/Buffers 3 FP Adder R.S. 2 FP Mult R.S. Example speed: 3 clocks for FP +,-; 10 for * ; 40 clks for /

Tomasulo Example Cycle 1

Tomasulo Example Cycle 2 Note: Can have multiple loads outstanding

Tomasulo Example Cycle 3 Note: registers names are removed (“renamed”) in Reservation Stations; MULT issued Load1 completing; what is waiting for Load1?

Tomasulo Example Cycle 4 Load2 completing; what is waiting for Load2?

Tomasulo Example Cycle 5 Timer starts down for Add1, Mult1

Tomasulo Example Cycle 6 Issue ADDD here despite name dependency on F6?

Tomasulo Example Cycle 7 Add1 (SUBD) completing; what is waiting for it?

Tomasulo Example Cycle 8

Tomasulo Example Cycle 9

Tomasulo Example Cycle 10 Add2 (ADDD) completing; what is waiting for it?

Tomasulo Example Cycle 11 Write result of ADDD here? All quick instructions complete in this cycle!

Tomasulo Example Cycle 12

Tomasulo Example Cycle 13

Tomasulo Example Cycle 14

Tomasulo Example Cycle 15 Mult1 (MULTD) completing; what is waiting for it?

Tomasulo Example Cycle 16 Just waiting for Mult2 (DIVD) to complete

Tomasulo Example Cycle 55

Tomasulo Example Cycle 56 Mult2 (DIVD) is completing; what is waiting for it?

Tomasulo Example Cycle 57 Once again: In-order issue, out-of-order execution and out-of-order completion.

Tomasulo Drawbacks Complexity –delays of 360/91, MIPS 10000, Alpha 21264, IBM PPC 620 in CA:AQA 2/e, but not in silicon! Many associative stores (CDB) at high speed Performance limited by Common Data Bus –Each CDB must go to multiple functional units  high capacitance, high wiring density –Number of functional units that can complete per cycle limited to one! »Multiple CDBs  more FU logic for parallel assoc stores Non-precise interrupts! –We will address this later

Tomasulo Loop Example Loop:LDF00R1 MULTDF4F0F2 SDF40R1 SUBIR1R1#8 BNEZR1Loop This time assume Multiply takes 4 clocks Assume 1st load takes 8 clocks (L1 cache miss), 2nd load takes 1 clock (hit) To be clear, will show clocks for SUBI, BNEZ –Reality: integer instructions ahead of Fl. Pt. Instructions Show 2 iterations

Loop Example Added Store Buffers Value of Register used for address, iteration control Instruction Loop Iter- ation Count

Loop Example Cycle 1

Loop Example Cycle 2

Loop Example Cycle 3 Implicit renaming sets up data flow graph

Loop Example Cycle 4 Dispatching SUBI Instruction (not in FP queue)

Loop Example Cycle 5 And, BNEZ instruction (not in FP queue)

Loop Example Cycle 6 Notice that F0 never sees Load from location 80

Loop Example Cycle 7 Register file completely detached from computation First and Second iteration completely overlapped

Loop Example Cycle 8

Loop Example Cycle 9 Load1 completing: who is waiting? Note: Dispatching SUBI

Loop Example Cycle 10 Load2 completing: who is waiting? Note: Dispatching BNEZ

Loop Example Cycle 11 Next load in sequence

Loop Example Cycle 12 Why not issue third multiply?

Loop Example Cycle 13 Why not issue third store?

Loop Example Cycle 14 Mult1 completing. Who is waiting?

Loop Example Cycle 15 Mult2 completing. Who is waiting?

Loop Example Cycle 16

Loop Example Cycle 17

Loop Example Cycle 18

Loop Example Cycle 19

Loop Example Cycle 20 Once again: In-order issue, out-of-order execution and out-of-order completion.

Why can Tomasulo overlap iterations of loops? Register renaming –Multiple iterations use different physical destinations for registers (dynamic loop unrolling). Reservation stations –Permit instruction issue to advance past integer control flow operations –Also buffer old values of registers - totally avoiding the WAR stall that we saw in the scoreboard. Other perspective: Tomasulo building data flow dependency graph on the fly.

Tomasulo’s scheme offers 2 major advantages (1)the distribution of the hazard detection logic –distributed reservation stations and the CDB –If multiple instructions waiting on single result, & each instruction has other operand, then instructions can be released simultaneously by broadcast on CDB –If a centralized register file were used, the units would have to read their results from the registers when register buses are available. (2) the elimination of stalls for WAW and WAR hazards of scoreboard