Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Experimental SEM images of an ArF-photoresist pattern. The images are 2000 nm long.

Slides:



Advertisements
Similar presentations
Date of download: 4/16/2015 Copyright © 2015 SPIE. All rights reserved. Fabrication process of a polymethylmethacrylate (PMMA)-based microfluidic system.
Advertisements

Date of download: 5/27/2016 Copyright © 2016 SPIE. All rights reserved. Simulated cross-correlation [GX1,2(τ)] functions (CCFs) for complex flows. Gaussian.
Date of download: 5/27/2016 Copyright © 2016 SPIE. All rights reserved. XY plane of rotation probe: the circles on the left indicate the 23 source positions.
Date of download: 5/29/2016 Copyright © 2016 SPIE. All rights reserved. (a) Photograph of a single detector module. X-rays are incident from the top of.
Date of download: 5/30/2016 Copyright © 2016 SPIE. All rights reserved. Scheme of the experimental setup: 1-guided-vane pump; 2-fine ceramic porous filter;
Date of download: 5/30/2016 Copyright © 2016 SPIE. All rights reserved. Working principle of the immersion schemes: (a) focusing in air, (b) focusing through.
Date of download: 5/30/2016 Copyright © 2016 SPIE. All rights reserved. SEM images of TiO 2 /SiO 2, after etching in ICP-RIE for 15 min with (a) Al mask.
Date of download: 5/30/2016 Copyright © 2016 SPIE. All rights reserved. The stages of the SU-8 process along with their interdependence and effect on final.
Date of download: 6/1/2016 Copyright © 2016 SPIE. All rights reserved. Schematic of the electrochemical micromachining process. Figure Legend: From: Modeling.
Date of download: 6/1/2016 Copyright © 2016 SPIE. All rights reserved. Main processes for surface micromachining of an InP-based FP cavity structure: (a)
Date of download: 6/2/2016 Copyright © 2016 SPIE. All rights reserved. Metrology quality and capability association to the profitability. Figure Legend:
Date of download: 6/2/2016 Copyright © 2016 SPIE. All rights reserved. (a) Lerdemo line edge detection (black lines) of top-down CDSEM image. (b) Height-height.
Date of download: 6/3/2016 Copyright © 2016 SPIE. All rights reserved. (a) The working principle of the tilt-beam CD-SEM. The feature of interest is imaged.
Date of download: 6/3/2016 Copyright © 2016 SPIE. All rights reserved. Display intensity of 0.125mrad−1 four-bar pattern. The dashed line is bar intensity.
Date of download: 6/3/2016 Copyright © 2016 SPIE. All rights reserved. (a) Segment Voronoi diagram under L∞ metric, with five distinct sites S1,S2,S3,S4,S5,
Date of download: 6/18/2016 Copyright © 2016 SPIE. All rights reserved. Schematic flow diagram of CER evaluation methodology as implemented on software.
Date of download: 6/21/2016 Copyright © 2016 SPIE. All rights reserved. (a) Traditional simplified lithographic system showing components considered in.
Date of download: 6/21/2016 Copyright © 2016 SPIE. All rights reserved. The aerial image intensity profile of eight model terms for a typical pattern.
Date of download: 6/22/2016 Copyright © 2016 SPIE. All rights reserved. Glucose sensor architecture. The lamp provides broadband electromagnetic radiation.
Date of download: 6/22/2016 Copyright © 2016 SPIE. All rights reserved. Index-matching effect. Matching the index of refraction of the bead with the solution.
Date of download: 6/22/2016 Copyright © 2016 SPIE. All rights reserved. Schematic of wafer geometry and loading considered in the analytical and 2-D finite.
Date of download: 6/22/2016 Copyright © 2016 SPIE. All rights reserved. Geometry of the simulations, as carried out in this paper. The setup is infinite.
Date of download: 6/24/2016 Copyright © 2016 SPIE. All rights reserved. Estimation of the link quality from the location of the curves g0(P) and FP(P).
Date of download: 6/25/2016 Copyright © 2016 SPIE. All rights reserved. (a) Schematic of the interventional multispectral photoacoustic imaging system.
Date of download: 6/25/2016 Copyright © 2016 SPIE. All rights reserved. Comparison of the current and previous maximum permissible exposure for long-duration,
Date of download: 6/26/2016 Copyright © 2016 SPIE. All rights reserved. Simulations and comparison of SOFI reconstructions with different optical pixel.
Date of download: 6/26/2016 Copyright © 2016 SPIE. All rights reserved. Three different codebook sources have been used, the Lena image and the prior two.
Date of download: 6/28/2016 Copyright © 2016 SPIE. All rights reserved. Absorptive transillumination imaging of intramyocardial scroll waves: (a) schematic.
Date of download: 6/28/2016 Copyright © 2016 SPIE. All rights reserved. Image collection and region of interest assignment. Four channels were collected.
Date of download: 6/28/2016 Copyright © 2016 SPIE. All rights reserved. Schematic illustration of (a) a single-junction solar cell and (b) a triple-junction.
Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Illustration of optimum D-S combinations. Figure Legend: From: In situ measurement.
Date of download: 7/1/2016 Copyright © 2016 SPIE. All rights reserved. Schematic view of the layered structure of the fabricated cantilever device. Figure.
Date of download: 7/1/2016 Copyright © 2016 SPIE. All rights reserved. Process of repair and postrepair treatment. Figure Legend: From: Evaluation of multilayer.
Date of download: 7/2/2016 Copyright © 2016 SPIE. All rights reserved. Diagrams demonstrating shadow imaging. (a) The position of the shadow moves as the.
Date of download: 7/2/2016 Copyright © 2016 SPIE. All rights reserved. Fragmentation-based hotspot signature extraction. (a) Layout patterns and the Hanan.
Date of download: 7/2/2016 Copyright © 2016 SPIE. All rights reserved. Simulation of the ablation cross section by a sequence of laser pulses with an ideal.
Date of download: 7/6/2016 Copyright © 2016 SPIE. All rights reserved. (a) Responsivity phantom. (b) Setup to measure the diffuse transmittance factor.
Date of download: 7/6/2016 Copyright © 2016 SPIE. All rights reserved. Inital PAG density taken as input for the PEB simulation compared to the underlying.
Date of download: 7/7/2016 Copyright © 2016 SPIE. All rights reserved. Illumination geometry for vertical and horizontal lines, respectively. The illumination.
Date of download: 7/7/2016 Copyright © 2016 SPIE. All rights reserved. Left: Cropped top-down SEM-CD images for 1st, 2nd, 4th, and 100th captured image.
Date of download: 7/7/2016 Copyright © 2016 SPIE. All rights reserved. Transmission of light rays through an optically transparent wave guide. (a) Side.
Date of download: 7/8/2016 Copyright © 2016 SPIE. All rights reserved. Points are the nominal spot locations on the calibration standard and the test specimen.
Date of download: 7/8/2016 Copyright © 2016 SPIE. All rights reserved. Resist loss observed for narrow lines caused by the leakage of light into dark mask.
Date of download: 7/9/2016 Copyright © 2016 SPIE. All rights reserved. Flowcharts of the (a) previous and (b) new writing parameter optimization methods.
Date of download: 7/9/2016 Copyright © 2016 SPIE. All rights reserved. Electron beam lithography systems. Figure Legend: From: Datapath system for multiple.
Date of download: 7/9/2016 Copyright © 2016 SPIE. All rights reserved. Schematics of a 2-θ angular scatterometry configuration. Figure Legend: From: Physical.
Date of download: 7/10/2016 Copyright © 2016 SPIE. All rights reserved. Optical proximity correction. Figure Legend: From: Optical proximity correction.
Date of download: 7/11/2016 Copyright © 2016 SPIE. All rights reserved. In extreme ultraviolet lithography (EUVL), the leakage of the EUV light in the.
Date of download: 7/11/2016 Copyright © 2016 SPIE. All rights reserved. (a) Red-free scanning ophthalmoscope image of rabbit retina after infrared irradiation.
Date of download: 9/16/2016 Copyright © 2016 SPIE. All rights reserved. Typical thin film heads (TFH) process comparison with typical semiconductor processes.
Date of download: 9/17/2016 Copyright © 2016 SPIE. All rights reserved. Talbot self-imaging effect with monochromatic (a) and broadband (b) radiation.
Date of download: 9/17/2016 Copyright © 2016 SPIE. All rights reserved. (a) Schematic definition of line edge roughness (LER) and line width roughness.
Date of download: 9/18/2016 Copyright © 2016 SPIE. All rights reserved. The response curve for a 1.0-μm thick Shipley1813 photoresist coating is shown.
Date of download: 9/18/2016 Copyright © 2016 SPIE. All rights reserved. Fin structure with thin films deposited on top. (a) Typical top-down CD-SEM fragment.
Date of download: 9/19/2016 Copyright © 2016 SPIE. All rights reserved. The average protection level is shown with a solid line (averaged over y−z plane.
Date of download: 11/12/2016 Copyright © 2016 SPIE. All rights reserved. (a) A close-up SEM of a rotary comb actuated device. The innermost and outermost.
Date of download: 10/7/2017 Copyright © ASME. All rights reserved.
Date of download: 11/4/2017 Copyright © ASME. All rights reserved.
Date of download: 12/27/2017 Copyright © ASME. All rights reserved.
Precision and Variability in Bacterial Temperature Sensing
Volume 34, Issue 5, Pages (May 2002)
Fluorescence Correlation Spectroscopy Close to a Fluctuating Membrane
Apparent Subdiffusion Inherent to Single Particle Tracking
Volume 55, Issue 3, Pages (August 2007)
Volume 5, Issue 4, Pages e4 (October 2017)
Volume 34, Issue 5, Pages (May 2002)
Volume 99, Issue 8, Pages (October 2010)
Static and Dynamic Errors in Particle Tracking Microrheology
Volume 90, Issue 10, Pages (May 2006)
Volume 113, Issue 10, Pages (November 2017)
Presentation transcript:

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Experimental SEM images of an ArF-photoresist pattern. The images are 2000 nm long and 192 nm wide, i.e., 400 by 218 pixels with a size ΔyPIX or ΔxPIX along y or x-axis as 5.00 or nm, respectively. (a) Captured using a CD-SEM, model S-9380, from HHT with an acceleration voltage of 300 V and a probe current of 4.8 pA. 26–29,32,33,36,37 A total of 16 frames were averaged to reduce image noise. (b) or (c) Obtained by crosswise or longitudinally averaging 13 or 16 pixels of (a), respectively. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Pattern-edge images simulated using a MC method. (a)–(c) correspond to (a)–(c) in Fig. 1, respectively. The simulation was carried out using the method described in Sec. 2.2 assuming a variance and correlation length of LWR to be 6.25 nm2 and 35 nm, respectively, 26–29,32,33,36,37 here and in the following. A half scan-signal width λ, for which a scan-signal intensity drops to 1/e of its peak, and a ratio θ of an image-noise SD to the peak were 7.4 nm and 0.45, respectively. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Crosswise distributions of scan signal. The solid line in (a) or (b) represents a scan-signal distribution in Figs. 1(a) or 2(a), respectively. The dashed line in (b) represents an image-noise-free distribution assumed in the simulation. Pattern edges were detected at the maxima of scan-signal profiles, as indicated by arrows. The edge assumed in the simulation is shown using Xα. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. PSDs of photoresist LWR obtained using crosswise filtering. (a) Experimental results obtained putting a sampling interval Δy and length L of line segments as 5 and 2000 nm, respectively, here and in the following. The PSDs were obtained by averaging the results of 452 samples. The number NPIX,X of crosswise-averaged pixels ranged from 7 to 23. For reference, a result obtained without the noise filtering is also shown (NPIX,X=1). (b) The average of theoretical PSDs for 2000 trials carried out using the method of Sec Each line in (b) reproduces a corresponding result in (a). For reference, a simulated result for no image noise is also shown. This noise-free PSD is the same for all NPIX,X values except for statistical noise. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Difference in theoretical PSDs obtained crosswise averaging scan-signal distributions with and without image noise. The differential PSDs ΔIτ’s were obtained using the PSDs in Fig. 4(b). Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Image-noise-induced variance as a function of number of crosswise-averaged pixels. Closed plots in (a) and (b) represent the same experimental results. The lines in (a) represent theoretical results calculated assuming θ to be 0.22, 0.45, and 0.90 with λ fixed at 7.4 nm, whereas the lines in (b) were simulated changing λ from 3.7 to 14.8 nm with θ fixed at The number of MC trials was 500 in both (a) and (b). Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Experimental statistics of photoresist LWR estimated using crosswise filtering. The circles, triangles, and squares represent a mean of line widths, correlation length, and variance of LWR, respectively. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. PSDs of photoresist LWR obtained using longitudinal filtering; (a) and (b) show experimental and theoretical results, respectively. These PSDs were obtained in the same way as those in Fig. 4, except for the noise filtering. The number NPIX,L of longitudinally averaged pixels ranged from 2 to 16. The result for NPIX,L=1 in (a) or (b) was obtained without the noise filtering and is the same as that for NPIX,X=1 in Fig. 4(a) or 4(b), respectively. The number of samples or trials in (a) or (b) was equal to that in Fig. 4(a) or 4(b), respectively. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Image-noise-free PSDs obtained using longitudinal filtering. The number of local minima is equal to NPIX,LΔyPIX/Δy−1. 36 Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Difference between theoretical PSDs obtained longitudinally averaging scan-signal distributions with and without image noise. ΔIτ’s were obtained using the PSDs in Figs. 8(b) and 9. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. PSDs obtained using longitudinally or non-filtered images; (a) or (b) shows the results with or without the filtering, respectively, for θ ranging from 0 to NPIX,L was 2 in (a). Black or gray lines in (b) represent the PSDs of uniformly or non-smoothed LWR, respectively. The smoothing-window size in the former was equal to the filtering-window size in (a). Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Nominal and image-noise-induced variances and their sum as a function of number of longitudinally averaged pixels. The closed circles represent an experimental result on a nominal total variance, from which the variance of a longer-range-correlation component was subtracted. The solid line represents a theoretical sum of nominal and image-noise-induced variances, which are represented by the dashed and dotted lines, respectively. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Normalized ratio of maximal scan-signal intensity to standard deviation of image noise as a function of normalized crosswise- filtering-window size. The normalized ratio f(W^) was calculated using Eq. (10). Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Comparison of experimental image-noise-induced variances between previous studies and this current work. Closed and open plots represent experimental var(φ)’s from previous 26 and current studies, which used Terminal PC and new image-analysis software, respectively. Solid and dashed lines represent theoretical fits to these experimental results. The solid line was simulated assuming scan-signal profiles as shown in Fig. 15. Both the results of this study (open plots and dashed line) are the same as those for θ=0.45 in Fig. 6(a) and for λ=7.4 nm in Fig. 6(b). Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Scan-signal profile assumed in the simulation best fitted for a previous image-noise-induced variance. The integral signal intensity ASEM was the same as that in Fig. 3(b). The thin solid line represents the scan-signal profile, whereas the dashed line represents the image-noise-free distribution assumed in the simulation in a manner similar to how the dashed line in Fig. 3(b) did. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Theoretical maximum and half width of averaged scan-signal as a function of number of averaged image pixels. The solid or dashed lines represent maxima or half widths of averaged scan signal, respectively, and thick (black) or thin (gray) lines represent the results for the longitudinal or crosswise averaging, respectively. λ was assumed to be the same as that in Figs. 4(b) and 8(b), but θ was set to zero. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM

Date of download: 6/29/2016 Copyright © 2016 SPIE. All rights reserved. Theoretical variance of image-noise-induced LWR as a function of normalized image-noise intensity. Closed circles represent the results simulated assuming λ to be the same as that in Figs. 4(b) and 8(b). Image pixels were not averaged. These plots are approximated by a linear function with a zero offset, as represented by a solid line, in the range of θ from to 0.3, where var(φ) in Fig. 12 was inversely proportional to the square root of NPIX,L. Figure Legend: From: Noise filtering of scanning-electron-microscope images for accurate analysis of line- edge and line-width roughness J. Micro/Nanolith. MEMS MOEMS. 2012;11(4): doi: /1.JMM