11/8/2000 1 Radical Enhanced Atomic Layer Chemical Vapor Deposition (REALCVD) SFR Workshop November 8, 2000 Frank Greer, John Coburn, David Frazer, David.

Slides:



Advertisements
Similar presentations
Sputtering Eyal Ginsburg WW46/02.
Advertisements

Spatially Distributed Experimentation to Understand ALD Process Chemistry Rubloff Research Group Accomplishments.
Thermo-compression Bonding
Chapter 6 Diffusion in Solids.
How can ALD throughput be increased? How can ALD throughput be increased? Mikhail Erdmanis
In Situ Measurement Tools (for Monitoring and Understanding Photocathode Growth) Second Workshop on Photocathodes: nm June 29-30, 2012, Enrico Fermi.
1 Microelectronics Processing Course - J. Salzman - Jan Microelectronics Processing Oxidation.
OXIDATION- Overview  Process Types  Details of Thermal Oxidation  Models  Relevant Issues.
University of Illinois Non-linear Electrodynamic Response of Dielectric Materials microwave applications (radar, etc) phase shifters tuned filters voltage.
Laser etching of GaN Jonathan Winterstein Dr. Tim Sands, Advisor.
Limits of low-temperature ALD Tapani Alasaarela. Outline Low temperature? How ALD works? Plasma enhanced or thermal? Possible thermal processes –TiO 2.
Alloy Formation at the Epitaxial Interface for Ag Films Deposited on Al(001) and Al(110) Surfaces at Room Temperature* N.R. Shivaparan, M.A. Teter, and.
Thin film deposition techniques II. Chemical Vapor deposition (CVD)
MSE-630 Dopant Diffusion Topics: Doping methods Resistivity and Resistivity/square Dopant Diffusion Calculations -Gaussian solutions -Error function solutions.
The Deposition Process

ECE/ChE 4752: Microelectronics Processing Laboratory
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Vacuum Evaporation Lecture 8 G.J. Mankey
PEALD/CVD for Superconducting RF cavities
EE143 – Ali Javey Section 5: Thin Film Deposition Part 2: Chemical Methods Jaeger Chapter 6.
Plasma Etch and the MATEC Plasma Etcher Simulation
Plasma-Surface Interactions at a “Spinning Wall”
Lecture 12.0 Deposition. Materials Deposited Dielectrics –SiO2, BSG Metals –W, Cu, Al Semiconductors –Poly silicon (doped) Barrier Layers –Nitrides (TaN,
1 Atomic Layer Deposition (ALD) Presented by Myo Min Thein EE 518 Class Presentation, Penn State Spring 2006 Instructor: Dr. J. Ruzyllo.
Salamanca.ppt, © Thomas Schwarz-Selinger, 03. Juni 2008 G. S. Oehrlein*, T. Schwarz-Selinger, K. Schmid, M. Schlüter and W. Jacob Interaction of Deuterium.
반도체 제작 공정 재료공정실험실 동아대학교 신소재공학과 손 광 석 隨處作主立處開眞
Plasma-Enhanced Chemical Vapor Deposition (PECVD)
Carrier Mobility and Velocity
M.H.Nemati Sabanci University
PVD AND CVD PROCESS Muhammed Labeeb.
ChE 553 Lecture 12 Theory Of Sticking 1. Objective Develop a qualitative understanding of sticking Go over some models for the process 2.
1 K. Overhage, Q. Tao, G. M. Jursich, C. G. Takoudis Advanced Materials Research Laboratory University of Illinois at Chicago.
Techniques for Synthesis of Nano-materials
Lecture 2—Adsorption at Surfaces 1.Adsorption/Desorption 2.Overlayers, lifting reconstruction 3.Dissociative and Associative adsorption 4.1 st and 2 nd.
8:30 – 9:00Research and Educational Objectives / Spanos 9:00 – 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 – 10:30 Plasma & Diffusion / Graves, Lieberman,
8:30 – 9:00 Research and Educational Objectives / Spanos 9:00 – 9:50 Plasma, Diffusion / Graves, Lieberman, Cheung, Haller 9:00 – 9:50 Plasma, Diffusion.
Conductive epitaxial ZnO layers by ALD Conductive epitaxial ZnO layers by ALD Zs. Baji, Z. Lábadi, Zs. E. Horváth, I. Bársony Research Centre for Natural.
BY KRISHNAN.P Chemical Vapour Deposition (CVD) is a chemical process used to produce high purity, high performance solid materials. In a typical.
From: S.Y. Hu Y.C. Lee, J.W. Lee, J.C. Huang, J.L. Shen, W.
0-D, 1-D, 2-D Structures (not a chapter in our book!)
11/8/ Diagnostic and Etching Studies of Inductively Coupled Plasmas SFR Workshop November 8, 2000 Matthew Radtke, John Coburn, David Graves Berkeley,
U T JOHN G. EKERDT RESEARCH THEMES Using the tools of surface science we seek to develop and understand reaction chemistry and reaction kinetics at the.
Lecture 3 More on Adsorption and Thin Films 1.Monolayer adsorption 2.Several adsorption sites 3.Thin Films (S ~ constant, multilayer adsorption) 1Lecture.
Atomic layer deposition Chengcheng Li 2013/6/27. What is ALD ALD (Atomic Layer Deposition) Deposition method by which precursor gases or vapors are alternately.
ALD Oxides Ju Hyung Nam, Woo Shik Jung, Ze Yuan, Jason Lin 1.
Section 5: Thin Film Deposition part 1 : sputtering and evaporation
Influence of pulse parameters and cathodic cage size on plasma nitriding DEPARTMENT OF PHYSICS QUAID-I-AZAM UNIVERSITY ISLAMABAD, PAKISTAN Prof.
CVD & ALD
Mukhtar Hussain Department of Physics & Astronomy King Saud University, Riyadh
ALD coating of porous materials and powders
Paul Frank Institute of Solid State Physics, Graz University of Technology Financially supported by the Austrian Science Fund.
ALD coating of porous materials and powders Kirill Isakov March 10, 2016.
Spatial Atomic Layer Deposition
Organometallic Precursors for the Deposition of Inorganic Materials
CVD & ALD
Atomic Layer Deposition (ALD)
Simulation of feature profile evolution for thin film processes involving simultaneous deposition and etching Nathan Marchack, Calvin Pham, John Hoang.
© 1997, Angus Rockett Section I Evaporation.
Saurabh J. Ullal, Anna R. Godfrey, Eray S. Aydil
Lecture 4 Fundamentals of Multiscale Fabrication
Frank Greer, David Fraser, John Coburn, David Graves
Chemical Vapour Deposition (CVD)
1.6 Magnetron Sputtering Perpendicular Electric Magnetic Fields.
Vacuum Beam Studies of Photoresist Etching Kinetics
Software description cosma pulse
Etch Dry Etch.
Testbed for Plasma-Wall and Etch Product Studies
Lecture 12.0 Deposition.
IC AND NEMS/MEMS PROCESSES
Presentation transcript:

11/8/ Radical Enhanced Atomic Layer Chemical Vapor Deposition (REALCVD) SFR Workshop November 8, 2000 Frank Greer, John Coburn, David Frazer, David Graves Berkeley, CA 2001 GOAL: to determine the feasibility of using radicals to perform atomic layer deposition of Cu diffusion barriers at low temperatures.

11/8/ Motivation Current deposition technologies such as i-PVD and CVD are not sufficiently conformal for barrier layer deposition. Atomic layer deposition (ALD) has been proposed as possible alternative due to it’s nearly 100% conformality. Process temperatures used in ALD may be incompatible with new low-k dielectric films. Lower temperature deposition using radical activation may allow for easier process integration.

11/8/ Radical Enhanced Atomic Layer CVD (REAL CVD) 1 Uses a volatile precursor and a radical source to deposit a film Reactants introduced in separate steps to achieve atomic layer control Products are nitride film and HCl Radical flux instead of heated substrate catalyzes precursor decomposition –Potentially lower processing temperatures Low temperature processing questions: –Precursor How and when is monolayer-like adsorption achieved without precursor condensation? –Radicals Are radicals sufficiently reactive to remove ligands from precursors? Are radicals sufficiently unreactive to stop after one ML of reaction? 1 A. Sherman U.S. Patent Wafer Heated (?) Pedestal Precursor/Radical Inlet Step 2: TiCl x (ab) + XH * (g) + N * (g)  TiN (s) + HCl (g) Step 1: TiCl 4 (g)

11/8/ Surface Reaction Products Atom Source Schematic of the Beam Apparatus in Cross-section (Top View) Quadrupole Mass Spectrometer (QMS) Main Chamber Analysis Section Rotatable Carousel + Experimental Diagnostics 1.QCM - Measures mass change of film 2.QMS - Measures products formed on film - Characterize beams Silicon-coated Quartz Crystal Microbalance (QCM) Ion Source + Tuning fork chopper X TiCl 4 Precursor Doser X = O, N, D Load Lock Future in- vacuo Auger Analysis

11/8/ Experimental Procedure Conventional ALD-like sequence –Each step in process monitored in-situ with QCM –Cycle used multiple times to grow a film Surface temp varied (32, 80, 135 o C) TiCl 4 ~1 mL of TiCl x 1. Adsorption 2. Pump down D ~1 mL of Ti-D x 3. Dechlorination 4. Pump down 5. Nitridation N ~1 mL of Ti-N x

11/8/ Precursor Adsorption QCM Results Two distinct uptake regimes –Initial rapid ads. followed by significantly slower ads. Adsorption may not be confined to a single monolayer Data fairly well represented by assuming precursor adsorbs two monolayers of TiCl 2 * Model fit allows estimation of: –Sticking probabilities –Active site (*) densities * TiCl x * * Suntola, T. et al Uptake Upon Exposure to TiCl 4 TiCl 2 Molecules/cm 2 TiCl 4 Exposure (L) TiCl 4 Adsorption 1 L = Molecules

11/8/ Adsorption Model Results initial sticking ~ 100x self sticking –contributes to uniformity self sticking decreases with inc. T –coverage is more monolayer-like at higher temperatures TiCl 4 5x x x x x x x x x Site Density (#/cm 2 ) s(TiCl x ) self sticking s(TiN) initial sticking Temperature ( o C)

11/8/ Dechlorination Results Cl loss due to abstraction by D atoms ex-situ XPS analysis shows ave. Cl conc. = 2.2% (0.6%- 3.8%) * D Cl Cl Lost Upon Exposure to Deuterium QCM Dechlorination Exponential decay in Cl/cm 2 fit assuming replacement of Cl with D k D+Cl  DCl = 3x10 -4 Fairly insensitive to T Calculated removed Cl density within a few% of ads. assumptions Suggests TiCl 2 is appropriate surface species Cl Atoms/cm 2 D atom Exposure (L) * Process not optimized for chlorine removal

11/8/ Nitrogenation Results N D Uptake Upon Exposure to N atoms QCM Nitrogenation N Atoms/cm 2 Nitrogen Atom Exposure (L) Nitrogen uptake does not saturate! ex-situ XPS shows N/Ti > 3 Initial uptake of nitrogen fit to linear model (s N = 8x10 -3 ) 2002  Study effects of surface preparation, type and temperature on film properties  Apply method to patterned surfaces for barrier film application. Explore DRAM capacitor applications. Milestones 2002/2003