Presentation is loading. Please wait.

Presentation is loading. Please wait.

Introduction to Programmable Logic Devices John Coughlan STFC Technology Department Detector & Electronics Division.

Similar presentations


Presentation on theme: "Introduction to Programmable Logic Devices John Coughlan STFC Technology Department Detector & Electronics Division."— Presentation transcript:

1 Introduction to Programmable Logic Devices John Coughlan STFC Technology Department Detector & Electronics Division

2 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic is a Key Underlying Technology for PP Experiments. n First-Level and High-Level Triggering n Data Transport (Networks) n Computers interacting with Hardware (Networks) n Silicon Trackers (Millions of Data Channels) Commercial Devices. Developments driven by Industry. Telecomms, Gaming, Aerospace, Automotive, Set-top boxes…. PPD Lectures

3 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk CMS CERN LHC Particle Physics Electronics Custom Electronics Chips ASICs ANALOGUE $$$ Rad Hard, Low Power

4 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk CMS CERN LHC Electronics Rooms Particle Physics Electronics Trigger Systems. DAQ Systems. DIGITAL Custom Electronics Chips ASICs ANALOGUE $$$ Rad Hard, Low Power Custom Digital Processing Boards VME Bus Crates

5 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Particle Physics Electronics n Special Dedicated Logic Functions (not possible in CPUs) u Ultra Fast Trigger Systems (Trigger Algorithms) Clock Accurate Timing u Massively Parallel Data Processing (Silicon Trackers with Millions of Channels) Custom Designed Printed Circuit Boards PCBs. Commercial Programmable Logic Devices, FPGAs

6 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk CMS DAQ/Trigger Architectures CMS “Telecoms Network” ~ 1 Tbps Fully custom PP ASICs CPUs Commodity PCs Programmable Logic DIGITAL

7 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Lecture Outline n Programmable Logic Devices u Basics u Evolution n FPGA Field Programmable Gate Array u Architecture n Design Flow u Hardware Description Languages u Design Tools

8 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Logic Gates

9 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Logic Gates Transistor Switches

10 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Logic Gates Transistor Switches < 40 nm ! $$$ MOORE’S LAW

11 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Black Box SUM of PRODUCTS Truth Table (Look Up Table LUT) Digital Logic Function 3 Inputs Product AND (&) Sum OR (|)

12 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Black Box SUM of PRODUCTS Truth Table (Look Up Table LUT) Digital Logic Function 3 Inputs Product AND (&) Sum OR (|)

13 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Digital Logic Black Box SUM of PRODUCTS Truth Table (Look Up Table LUT) Digital Logic Function 3 Inputs Product AND (&) Sum OR (|)

14 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs

15 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs

16 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs

17 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Programmed PLD Product Terms Sums Planes of ANDs, ORs Inputs Outputs ANDs ORs

18 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums

19 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums x x x x x

20 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums x x x x x x x n GLUE LOGIC

21 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Complex PLDs n CPLDs n Programmable PLD Blocks n Programmable Interconnects n Electrically Erasable links CPLD Architecture Feedback Outputs

22 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Sequential Circuits n Combinational Logic (Larger circuits difficult to predict) n Synchronous Logic driven by a CLOCK n Registers, Flip Flops (Memory) Inputs

23 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Sequential Circuits Register CLOCK New Output every clock edge n Combinational Logic (Larger circuits difficult to predict) n Synchronous Logic driven by a CLOCK n Registers, Flip Flops (Memory) Inputs Intermediate EDGES

24 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Sequential Circuits Register CLOCK New Output every clock edge Shift Registers, Pipelines, Finite State Machines … n Combinational Logic (Larger circuits difficult to predict) n Synchronous Logic driven by a CLOCK n Registers, Flip Flops (Memory) Clock Rate determines speed Comb Logic Must meet Timing => Predictable circuits Inputs Intermediate EDGES

25 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Field Programmable Gate Arrays FPGA n Field Programmable Gate Array u ‘Simple’ Programmable Logic Blocks u Massive Fabric of Programmable Interconnects u Standard CMOS Integrated Circuit fabrication process as for memory chips (Moore’s Law)

26 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Field Programmable Gate Arrays FPGA n Field Programmable Gate Array u ‘Simple’ Programmable Logic Blocks u Massive Fabric of Programmable Interconnects u Standard CMOS Integrated Circuit fabrication process as for SRAM memory chips (Moore’s Law) Huge Density of Logic Block ‘Islands’ 1,000 … 100,000’s in a ‘Sea’ of Interconnects FPGA Architecture

27 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Field Programmable Gate Arrays FPGA

28 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Logic Blocks n Logic Functions implemented in Look Up Table LUTs. n Flip-Flops. Registers. Clocked Storage elements. n Multiplexers (select 1 of N inputs) FPGA Fabric Logic Block

29 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Look Up Tables LUTs n LUT contains Memory Cells to implement small logic functions n Each cell holds ‘0’ or ‘1’. n Programmed with outputs of Truth Table n Inputs select content of one of the cells as output 3 Inputs LUT -> 8 Memory Cells Static Random Access Memory SRAM cells 3 – 6 Inputs Multiplexer MUX

30 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Look Up Tables LUTs n LUT contains Memory Cells to implement small logic functions n Each cell holds ‘0’ or ‘1’. n Programmed with outputs of Truth Table n Inputs select content of one of the cells as output n Configured by re-programmable SRAM memory cells 3 Inputs LUT -> 8 Memory Cells Static Random Access Memory SRAM cells 3 – 6 Inputs Multiplexer MUX

31 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Logic Blocks n Larger Logic Functions built up by connecting many Logic Blocks together

32 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Logic Blocks n Larger Logic Functions built up by connecting many Logic Blocks together n Determined by SRAM cells SRAM cells

33 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Clocked Logic n Registers on outputs. CLOCKED storage elements. n Synchronous FPGA Logic Design, Pipelined Logic. n FPGA Fabric Pulse from Global Clock (e.g. LHC BX frequency) FPGA Fabric Clock from Outside world (eg LHC bunch frequency) Special Routing for Clocks

34 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Input Output I/O Getting data in and out Up to > 1,000 I/O “pins” (several 100 MHz)

35 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Input Output I/O Getting data in and out Up to > 1,000 I/O “pins” (several 100 MHz) Special I/O SERIALISERS ~ 10 Gbps transfer rates Optical TRx

36 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Designing Logic with FPGAs n Design Capture. n High level Description of Logic Design. u Graphical descriptions u Hardware Description Language (Textual)

37 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Hardware Description Languages n Language describing hardware (Engineers call it FIRMWARE) n Doesn’t behave like “normal” programming language ‘C/C++’ n Describe Logic as collection of Processes operating in Parallel n Language Constructs for Synchronous Logic n Compiler (Synthesis) Tools recognise certain code constructs and generates appropriate logic n Not all constructs can be implemented in FPGA! n 2 Popular languages are VHDL, VERILOG n Easy to start learning… Hard to master!

38 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk VHDL ENTITY Declaration Input Output to Module (STD LOGIC) SIGNALS Declaration WIRES CONCURRENT ASSIGNMENTS CONDITIONAL ASSIGNMENTS => MULTIPLEXERS

39 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk VHDL COMPONENT Declaration PROCESS Declaration. CONCURRENT functions. Synchronous Logic.

40 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Designing Logic with FPGAs n High level Description of Logic Design u Hardware Description Language (Textual) n Compile (Synthesis) into NETLIST. n Boolean Logic Gates. n Target FPGA Device u Mapping u Routing n Bit File for FPGA n Commercial CAE Tools (Complex & Expensive) n Logic Simulation Design Flow

41 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Designing Logic with FPGAs n High level Description of Logic Design u Hardware Description Language (Textual) n Compile (Synthesis) into NETLIST. n Boolean Logic Gates. n Target FPGA Device u Mapping u Routing n Bit File for FPGA n Commercial CAE Tools (Complex & Expensive) n Logic Simulation Design Flow

42 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Designing Logic with FPGAs n High level Description of Logic Design u Hardware Description Language (Textual) n Compile (Synthesis) into NETLIST. n Boolean Logic Gates. n Target FPGA Device u Mapping u Routing n Bit File for FPGA n Commercial CAE Tools (Complex & Expensive) n Logic Simulation Design Flow

43 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Configuring an FPGA n Millions of SRAM cells holding LUTs and Interconnect Routing n Volatile Memory. Lose configuration when board power is turned off. n Keep Bit Pattern describing the SRAM cells in non-Volatile Memory e.g. PROM or Digital Camera card n Configuration takes ~ secs JTAG Port

44 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Configuring an FPGA n Millions of SRAM cells holding LUTs and Interconnect Routing n Volatile Memory. Lose configuration when board power is turned off. n Keep Bit Pattern describing the SRAM cells in non-Volatile Memory e.g. PROM or Digital Camera card n Configuration takes ~ secs JTAG Testing JTAG Port Programming Bit File

45 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Field Programmable Gate Arrays FPGA n Large Complex Functions n Re-Programmability, Flexibility. n Massively Parallel Architecture n Processing many channels simultaneously cf MicroProcessor n Fast Turnaround Designs n Standard IC Manufacturing Processes. Moore’s Law n Mass produced. Inexpensive. n Many variants. Sizes. Features. n PP Not Radiation Hard  n Power Hungry  n No Analogue 

46 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk FPGA Trends n State of Art is 40nm on 300 mm wafers n Top of range >500,000 Logic Blocks n >1,000 pins (Fine Pitched BGA) n Logic Block cost ~ 1$ in 1990 n Today < 0.1 cent n Problems u Power. Leakage currents. u Design Gap F CAE Tools

47 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Summary n Programmable Logic Devices u Basics u Evolution n FPGA Field Programmable Gate Arrays u Architecture n Design Flow u Hardware Description Languages u Design Tools Importance for Particle Physics Experiments

48 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk References n The Design Warrior’s Guide to FPGAs u Clive Maxfield, Newnes Elsevier n VHDL for Logic Synthesis u Andrew Rushden, Wiley n FPGA manufacturer web sites u www.xilinx.com www.xilinx.com u www.altera.com www.altera.com n FPGA Online u www.pldesignline.com www.pldesignline.com u www.fpgajournal.com u www.doulos.com

49 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Spare Slides

50 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk System on a Chip n Add Embedded Micro-Processor Cores in Fabric u e.g. RISC PowerPC u Ethernet Interface n Run Operating System e.g. Linux n Combine Micro-Processor & Massively Parallel Logic n Dual Design Flows u Firmware HDL u Software C

51 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Time line of Programmable devices

52 The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) john.coughlan@stfc.ac.uk Application Specific Integrated Circuits ASICs Large Complex Functions. Millions of Gates Customised for Extremes of Speed, Low Power, Radiation Hardness (Very) Expensive to Design (in small quantities) > $1 Million mask set (Very) Hard to Design. Long Design cycles. NOT Reprogrammable. FROZEN in Silicon. High Risk Limited Complexity Thousands of Gates Cheap Easy to Design Reprogrammable. Custom Fabricated Design from Scratch Prefabricated Programmed


Download ppt "Introduction to Programmable Logic Devices John Coughlan STFC Technology Department Detector & Electronics Division."

Similar presentations


Ads by Google