Presentation is loading. Please wait.

Presentation is loading. Please wait.

Custom Designed Integrated Circuits Em3

Similar presentations


Presentation on theme: "Custom Designed Integrated Circuits Em3"— Presentation transcript:

1 Custom Designed Integrated Circuits Em3
Week Lecture Ref Exercise Lab 1/W35 Introduction to ASIC. Concurrent VHDL Chap 1-3 Chap 3: 3, 4, 5, 10, 13, 14 Lab 1, Concurrent VHDL 2/W36 Sequential VHDL Chap 4 Chap 4: all Lab 2, Sequential VHDL 3/W37 Library, structural VHDL. Statemachines FSM, Chap 5, 6, 9 Chap 5: 4,7,8,9 Chap 6: 1,2,6 Chap 9: 1,2,4,5,9 Lab 3 State machines 4/W38 File IO, Test benches, Introduction to project. Chap 8 Chap 8: 1,3,4,5 Lab 4 Test benches 5/W39 Project. Chap 7,12, 10,14 Project 6/W40 Design tools. Test. Coding style Design hints. . RTL-syntesis. Chap 13,15,16 7/W41 Behavioural synthesis. SoC. ASIC technology. Chap 17 W42 Exam. 15/10 joal 2003 HT:1 Custom Designed Integrated Circuits Em3

2 Custom Designed Integrated Circuits Em3
Project The purpose of the project is: To use VHDL to do a circuit design that is suitable to implement in a FPGA. To use structured design methods. To use simulations to verify the design. (Test benches). To synthesize the VHDL code. To test the designed circuit (FPGA) in a microcontroller environment. joal 2003 HT:1 Custom Designed Integrated Circuits Em3

3 Custom Designed Integrated Circuits Em3
Project The students can choose one of two assignments: UART (Universal Asynchronous Receiver Transmitter) interfaced to a PIC16F84 microcontroller. VGA controller interfaced to PIC16F628 The interface between the microcontroller and the project circuit is specified. The program in the microcontroller is specified and implemented. joal 2003 HT:1 Custom Designed Integrated Circuits Em3

4 Custom Designed Integrated Circuits Em3
Project, Test hard ware FPGA XCS10 OSC MAX232 PIC16F84 DSUB9 JTAG IO-port REG + - DSUB15 joal 2003 HT:1 Custom Designed Integrated Circuits Em3

5 Custom Designed Integrated Circuits Em3
Project, Test hardware Hyperterminal >? FPGA XCS10 OSC MAX232 PIC16F84 DSUB9 JTAG IO-port REG + - DSUB15 VGA-monitor joal 2003 HT:1 Custom Designed Integrated Circuits Em3

6 Custom Designed Integrated Circuits Em3
Project Design steps: Design the selected circuit. Design a test bench. Verify the design. Demonstrate the test bench simulations for the supervisor. Synthesize the circuit and implement it in the test hardware. Test the design. Demonstrate the implemented design for the supervisor. Write a project report and hand it over to the supervisor. joal 2003 HT:1 Custom Designed Integrated Circuits Em3

7 Custom Designed Integrated Circuits Em3
Project Assignment reports: Demonstrate the test bench simulations for the supervisor. Every student must be prepared to demonstrate and explain the simulations and to describe the circuit design and the test bench. Demonstrate the implemented design for the supervisor. Write a project report and hand it over to the supervisor. Passed exam for the project Gate Gate Gate joal 2003 HT:1 Custom Designed Integrated Circuits Em3

8 Custom Designed Integrated Circuits Em3
Project UART --************************************************************* -- Project: uart -- clk: input clock 4 MHz. The same clock is used for FPGA and microcontroller. -- reset: internal signal in FPGA -- wr: write signal from micro. -- rd: read signal from the micro. dbus direction from FPGA to micro when ’1’. Statusreg or datareg on dbus when rd=’1’. -- a0-a1: register selection address lines -- dbus: bidirectonal data bus. (D0 to D7 in schematics) entity uart is port(clk, reset, wr, rd, a0, a1: in std_logic; dbus: inout std_logic_vector(7 downto 0); end; joal 2003 HT:1 Custom Designed Integrated Circuits Em3

9 Custom Designed Integrated Circuits Em3
Project --************************************************************* -- Project: uart -- duplex: full -- Bitrate: bits/s. Fix baudrate generator 4 MHz to 9600. -- Data bits: 8 -- Parity: even -- Stop bits: 2 -- Receiver: Oversampling 16 times -- Clock: 4 Mhz -- Address 0: Data register -- Address 1: Statusregister -- Address X: address 2-7 not used. -- Buffers: Only single buffers => write directly to transmit -- shift register and read directly from receive shift register. joal 2003 HT:1 Custom Designed Integrated Circuits Em3

10 Custom Designed Integrated Circuits Em3
Project start d0 lsb d1 d2 d3 d4 d5 d6 d7 msb par stop stop Micro FSM Receive Status Transmit joal 2003 HT:1 Custom Designed Integrated Circuits Em3

11 Custom Designed Integrated Circuits Em3
Project statusreg(0) (a0=’1’,a1-a2=’0’) Receiver ready reset when data is read from receive-register statusreg(1) (a0=’1’,a1-a2=’0’) Transmitter ready reset when data is put into transmit-register statusreg(2) (a0=’1’,a1-a2=’0’) Parity error reset when correct parity received Receivereg (a0-a2=’0’) 8 bit data register Transmitreg (a0-a2=’0’) joal 2003 HT:1 Custom Designed Integrated Circuits Em3

12 Custom Designed Integrated Circuits Em3
Project clk data wr joal 2003 HT:1 Custom Designed Integrated Circuits Em3

13 Project clk (micro and UART) WR synchronized WR
data is latched in transmitter joal 2003 HT:1 Custom Designed Integrated Circuits Em3

14 Custom Designed Integrated Circuits Em3
Project clk (micro and UART) RD dbus<=receivereg when rd=’1’ and a0=’0’ else statusreg when rd=’1’ and a0=’1’ else (others=>’Z’); joal 2003 HT:1 Custom Designed Integrated Circuits Em3

15 Pattern and style in Project
Design methodology for project Don’t build too small or too big entities. (In the project ca 3-5) Max 4 levels in hierachi. Structural VHDL is not so easy to understand. Use state machines (required). Use variables only for temporary storage e.g. in algorithms. Never use variables for e.g. registers. Use patterns. Look for design examples. A state machine template is an example of a pattern that can improve the design and the readability. joal 2003 HT:1 Custom Designed Integrated Circuits Em3

16 Project VGA Controller
HSYNC x y VSYNC Cursor-sprite 8*8 Cursorpos (xc, yc) joal 2003 HT:1 Custom Designed Integrated Circuits Em3

17 Project VGA Controller
HSync Timing control clk VSync reset Blanking X(9 downto 0) Y(8 downto 0) Xbs(2 downto 0) Sprite control Background sprite PIC16F628 Ybs(2 downto 0) 6 DA 3 VGA C interface Xcs(2 downto 0) Cursor sprite Ycs(2 downto 0) joal 2003 HT:1 Custom Designed Integrated Circuits Em3

18 Project VGA Controller
HSYNC x y VSYNC Character Generator ROM 8*8*1 Box 12*12 RAM pos (xcr, ycr) joal 2003 HT:1 Custom Designed Integrated Circuits Em3

19 Character Generator (ROM) Character Memory (RAM) 8*8*4
Project VGA Controller HSync Timing control clk VSync reset X(9 downto 0) Y(9 downto 0) Character Generator (ROM) DA 3 VGA 6 Memory control VBlank PIC16F628 HBlank Blanking dbus cg_col( 1 downto 0) cgx(2 downto 0) cg_char( 3 downto 0) wr cgy(2 downto 0) C interface rd Xcm(2 downto 0) Character Memory (RAM) 8*8*4 Color Memory (RAM) 8*8*2 a0-a2 Ycm(2 downto 0) wr_char DATA_CM( 3 downto 0) wr_col joal 2003 HT:1 Custom Designed Integrated Circuits Em3


Download ppt "Custom Designed Integrated Circuits Em3"

Similar presentations


Ads by Google