Presentation is loading. Please wait.

Presentation is loading. Please wait.

ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”

Similar presentations


Presentation on theme: "ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”"— Presentation transcript:

1 ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”

2 ENG241/ Lab #42 Lab Objectives  Understand the design flow of digital circuits.  Design a router for travelling salespeople.  Enter the design using both Schematic Capture and VHDL.  Implement the router using NEXYS 3 board.  Test and Debug your design and verify software simulation and hardware implementation.

3 ENG241/ Lab #43 The Trip Genie  A sales man travels between 4 cities.  6 highways connect the 4 cities.  Given two cities what is the shortest path for the sales man.

4 ENG241/ Lab #44 Implementation  Use the NEXYS 3 Switch for city selection.  Use the NEXYS 3 LEDs for highway indication.  The sales man should select two cities by flipping the corresponding switch.  The proposed path should be displayed on the LED.  Write the truth table of the trip genie.  Derive the combinational function for each highway.  Draw a schematic capture for each function.  Write VHDL code to describe each function.  Implement both in the NEXYS 3 board.

5 ENG241/ Lab #45 UCF File  You will use the following assignments: NET C1 LOC = T5; // left most slide switch on the NEXYS 3 board NET C2 LOC = V8; // Next slide switch on the board NET C2 LOC = U8; // third slide switch on the board NET C3 LOC = N8; // fourth slide switch on the board NET H1 LOC = T11; // left most LED on the NEXYS 3 board NET H2 LOC = R11; // Next LED on the board NET H3 LOC = N11; // third LED on the board NET H4 LOC = M11; // fourth LED on the board NET H5 LOC = V15; // fifth LED on the board NET H6 LOC = U15; // sixth LED on the board

6 Lab Report  Title Page – Group # and Names  Problem Statement  System Overview and Justification of Design  Circuit Diagram, Schematic  VHDL Code (Include COMMENTS)  Simulation Waveform  Problems Encountered and Recommendation ENG241/Lab #66

7 Academic Misconduct  Reports and demos are submitted as a group, but it is a SINGLE group effort  You may talk with other groups but sharing codes or reports is NOT ALLOWED  Copying reports from previous years is also NOT ALLOWED  If we find copying we are REQUIRED to report it


Download ppt "ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”"

Similar presentations


Ads by Google