Presentation is loading. Please wait.

Presentation is loading. Please wait.

9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay CERN.

Similar presentations


Presentation on theme: "9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay CERN."— Presentation transcript:

1 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN Summer school 2003

2 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 2 Summary of lecture 1 Charge preamplifier architecture Ohm’s law enough to do electronics Without forgetting Z complex… The science of Electronics engineering Current sensitive preamplifiers (PAI) Charge sensitive preamplifiers (PAC) Output proportionnal to the incoming charge « Gain » : 1/C f : C f = 1 pF -> 1 mV/fC Transforms a short pulse into a long one Low input impedance -> current sensitive Virtual resistance R in -> stable with capacitive detector The front-end of 90% of particle physics detectors… But always built with custom circuits… v out (t) = - Q/C f

3 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 3 Cf Input Output Charge preamp example Charge preamp for W-Si calorimeter at FLC… Readout of 1 cm 2 Si PIN diodes Complete schematic (DC feedback omitted)

4 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 4 Basic design in analog electronics : Three basic bricks Common emitter (CE) Common collector (CC) Common base (BC) Numerous composites Darlington Paraphase Cascode… Simple models hybrid π model Similar for bipolar and MOS Essential for design + Powerful simulation tools Spice, Spectre, Eldo… BC ECCC The Art of electronics design

5 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 5 Components : bipolar transistors (1) Principle of operation : Forward bias of base emitter junction injects carriers which are swept in the collector due to the high collector-base electric field collector current (I C ) controlled by base-emitter voltage (V BE ) Transconductance device : g m = ∂I C /∂V BE First transistor (1949) (Brattain-Bardeen Nobel 56) SiGe Bipolar in 0.35µm monolithic process

6 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 6 Components : bipolar transistors (2) State equation : U T = kT/q = 26 mV : thermal potential Is = 10 -16 -10 -14 A : technological param. Very wide validity range : nA -> mA small input (base) current I B I B = I C /  0  0 >>1 is the current gain : a very misleading term ! Early effect Very small dependance of I C with collector voltage (V CE ) I C (V CE ) are straight lines which cross at the same « Early » voltage -V E (20-200V) I C = I S exp(V BE /U T ) V BE ICIC ICIC V CE

7 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 7 Small signal model Equivalent circuit around bias point Signal = small variation around DC operating point (« bias point ») => Linearisation : signal v in << V BE V BE -> V BE + v in => I C = I C ( 1 + v in /U T +…) Tranconductance : g m = ∂I C /∂V BE = I C /U T g m depends only on bias current I C and U T = kT/q Ex : I C = 1 mA => g m = 1mA/26mV = 40 mA/V Input impedance = r π Base current => Rin = r π = ∂V BE /∂I B =  0 /g m Relatively large : r π = 1-100 kΩ Ex : I C = 1 mA ;   =100 => r π = 2.6 kΩ Output impedance : r 0 Early effect : Rout = r 0 = ∂V CE /∂I C = V E /I C V E is the Early voltage = 10-100 V Large value : 10k-10MΩ Ex : Ic=1 mA ; V E =100V => r 0 = 100 kΩ Low frequency hybrid model of bipolar Schematic drawing of NPN transistor

8 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 8 High frequency hybrid model of bipolar Hybrid  model Simple hybrid model : Voltage controlled current source g m v BE Transconductance g m = I C /U T Large input resistance r π =  0 /g m Large output resistance r 0 = V A /I C Frequency response Include capacitors : Base-emitter junction C π Base collector junction Cμ => β varies with frequency :  (ω) = g m z π (ω) β(ω) = β 0 / [ 1 + j β 0 (C π + C μ )/g m ] β(f) = 1 for f = f T (transition frequency) f T is a function of I C but asymptotic to a max F T Low frequency hybrid model of bipolar

9 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 9 Components : MOS transistors Strong inversion : quadratic approximation I D = ½ µ n C ox W/L (V GS -V T )² V T : threshold voltage : technology dépendant µ n carrier mobility, C ox gate thickness W and L : dimensions = “designer’s choice” Voltage controlled current source No gate current : I G =0 Substrat P Drain Source Gate Si-poly Gate Oxyde SiO 2 N+N+ N+N+ W L P N+N+ N+N+ V GS V DS V SB Depletion regionChannel VTVT IDID V GS

10 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 10 Components : MOS transistors Weak inversion : exponential law when V GS close to V T I D = I D0 exp(V GS /nU T ) (1 < n < 2) Similar to bipolar with β 0 -> ∞ Early effect Small dependance of I D with V DS Similar to bipolar transistor, but smaller V A Body effect Effect of back gate : change in effective channel thickness MOS is a 4 terminals device IDID V DS 5 µm MOSFET (1985)

11 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 11 MOS hybrid  model : Small signal equivalent circuit Voltage-controlled (V GS ) current source (I D ) Transconductance : g m = ∂I D /∂V GS Strong inversion : g m = (µ n C ox W/L I D ) ½ Weak inversion : g m = I D /nU T Input impedance : C GS Output impedance : 1/g DS = V E /I D V E : Early voltage V E =  L Capacitance : Gate-Source : C GS ~ 2/3 Cox W L Gate-Drain : C GD ~ 1/3 Cox W L weak inversion Strong inversion ICIC Similar hybrid π model as the bipolar g m remains g m (but smaller) r π -> ∞ r 0 -> 1/g DS

12 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 12 Common emitter (CE) configuration Emitter common to input and output Input on the base Output on the collector “common source” with MOS Low frequency characteristics Input impedance Rin = r  (=  0 /g m ) Output impedance: Rout = r 0 (=V A /I C ) Voltage gain : G = v out /v in = - g m R L Inverting amplifier Transconductance stage Large Zin : voltage sensitive input Large Zout : current driven output Transconductance g m determined by bias current I C Common emitter configuration Equivalent circuit of CC

13 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 13 Common emitter frequency response Frequency response Include capacitors : Cπ, Cμ Effect of C μ : Miller effect = apparent input capacitance C Mi C Mi = (1 + g m R L )C μ Gain : Av = -g m R L /[1 + j  R S (C π +C Mi )] Ex : R S =1 kΩ R L =20kΩ g m =1 mA/V C π =2.5 pF C μ =0.5 pF => C Mi = 10 pF tau=12.5ns Time response H(t) = F -1 { 1/jω R/(1+jωRC) } = R [ 1 - exp(-t/ τ) ] τ = R S (C π +C Mi ) Similar calculation as photodiode preamp Slow configuration Frequency response of CE

14 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 14 Cf Input Output Charge preamp example Find the common emitter…

15 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 15 Common collector (CC) : Collector common to input and output Input on the base Output on the emitter = Common drain for MOS Low frequency characteristics Input impedance Rin = r  +  0 R E Output impedance: Rout = R S /  0 +1/g m Voltage gain : Av = v out /v in = 1/(1+1/g m R E ) ≤ 1 Non inverting Voltage follower or “emitter follower” Large Zin : voltage sensitive input Small Zout : voltage driven output Unity gain buffer : “the emitter follows the base” Frequency response : ~F T Small apparent input capacitance Common collector configuration Equivalent circuit of CC

16 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 16 Cf Input Output Charge preamp example Find the Common collector…

17 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 17 Common base (CB) : Collector common to input and output Input on the emitter Output on the collector Low frequency characteristics Input impedance Rin = 1/g m Output impedance: Rout = (1+g m R S )r 0 Current gain : A i ~ 1 Non inverting Current conveyor Small Zin : current sensitive input Large Zout : current driven output Unity gain current conveyor Frequency response : ~F T Very fast Excellent isolation input/output Common base configuration Equivalent circuit of CC

18 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 18 Cf Input Output Charge preamp example Find the Common Base…

19 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 19 Appendix 1 : simple composites : Cascode = CE + CB Equivalent to CE Larger output impedance Rout = (1+g m2 /g DS1 )/g DS2 No Miller effect Paraphase = CC + CB Equivalent to non-inverting CE Transconductance : g m /2 Darlington = CC + CE Equivalent to CE Larger input impedance Reduced Miller effect Cascode Paraphase Darlington

20 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 20 Appendix 2 : more composites Differential pair Similar to common emitter Input impedance : Rin = 2r  Transconductance : i out = g m /2 v ind Conversion differential / common mode White follower : CC + EC Closed loop composite Input impedance : Rin =  1  2 R E Output impedance : Rout = (R S + r  1 )/  1  2 Voltage gain : Av = v out /v in = 1/(1+Rout/R L ) ~1 => super voltage follower “Super common base” Input impedance : Rin = 1 / g m1 g m2 R C => super current conveyor

21 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 21 Current mirrors : Several functions Biasing : current source Active load = high virtual resistor Current amplifier Simple mirror characteristics : Same V BE => same current Input impedance : R in = 1/g m1 Output impedance : Rout = 1/r 02 Can be increased with composites Current ratio : I C2 /I C1 = 1 Can be increased by changing the area Several copies can be made Current conveyor Small Zin : current sensitive input Large Zout : current driven output Unity gain current conveyor Current mirror

22 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 22 Cf Input Output Charge preamp example Find the mirrors…

23 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 23 Cf Input Output Charge preamp equivalent circuit Bias points : The designer’s choice Here : I C3 = 100µA I C2 = 100µA I C1 = 500µA 100 µA 600 µA 100 µA

24 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 24 Charge preamp equivalent circuit Parameters Assuming :  0 = 100 V A = 100V MOS = BIPOLAR : g m = I C /26mV Output load : R L =10k Replace transistors by hybrid model… Calculate open loop gain v out /v in Q2 : CB I C2 =100µA g m2 =4mA/V R in2 =250 Ω R out2 =9M Ω Q3 : CC I C3 =100µA g m3 =4mA/V R in3 =1M Ω R out3 =1250 Ω Q1 : CE I C1 =500µA g m1 =20mA/V r  1 =5k Ω r 01 =2k Ω Small signal parameters of transistors in signal path

25 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 25 Charge preamp example Equivalent circuit g m1 Open loop gain : Ex : g m1 =20mA/V, R 0 =500kΩ, C 0 =1pF => G 0 =10 4 ω 0 =210 6 G 0 ω 0 =2 10 10 = 3 GHz ! v out /v in = - g m1 R 0 /(1 + jω R 0 C 0 ) v in v out R 0 C 0 R0 = Rout2//Rin3//r04

26 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 26 Charge preamp performance Amplifier gain: G0 = 10 4 G 0 ω 0 = 2 10 10 rad/s PAC configuration with C f =1pF Gain : 1 V/pC Input impedance : Rin = 1/ G 0 ω 0 C f = 50 Ω Can even terminate a cable ! Charge preamplifier architecture Speed : With C d =10pF, tau = 500 ps f -3dB = 1/2π 5 10 -10 = 300 MHz

27 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 27 Examples (2) : OP620 Analysis of the OP620 Input stage : differential NPN pair : equivalent to CE Cascode : current conveyor. Output stage : push-pull = CC unity gain buffer Equivalent half circuit CE + CB + CC Similar to charge preamp above ! Performance G 0 = 1000 G 0 ω 0 = 200 MHz

28 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 28 Examples (3) LM741 : an old classic ! Find paraphase, Darlington, mirrors 3 stages : Paraphase=CE, Darlington=CE, Push-pull = CC G0 = 200 000, GBW = 1 MHz

29 9-11 july 2003C. de La Taille Electronics CERN Summer School 2003 29 ZfZf Z0Z0 More charge preamps D0 Lar calorimeter charge preamplifer 2” FET driverpreamp Input Output Préampli de Charges ©Radeka 1968


Download ppt "9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay CERN."

Similar presentations


Ads by Google