Presentation is loading. Please wait.

Presentation is loading. Please wait.

Advanced Manufacturing Choices

Similar presentations


Presentation on theme: "Advanced Manufacturing Choices"— Presentation transcript:

1 Advanced Manufacturing Choices
MAE Spring 2012, Dr. Marc Madou Class 7 4/13/2017

2 Content CD and Tg Making a Mask Moore’s ‘Law’ Lithography definitions
Resist tone Introduction to the lithography process Surface Preparation Photoresist Application Soft Bake Align & Expose Develop Hard Bake Inspection Etch Layer or Add Layer Resist Strip Final Inspection Clean- Room, Wafer Cleaning CD and Tg Making a Mask Moore’s ‘Law’ 4/13/2017

3 Photolithography -- Definitions
Photolithography is used to produce 2 1/2-D images using light sensitive photoresist and controlled exposure to light. Microlithography is the technique used to print ultra-miniature patterns -- used primarily in the semiconductor industry. 3 3 3 3 3 3 4 4 4 3 3

4 Photolithography is at the Center of the Wafer Fabrication Process
Photolithography -- Definitions * Thin Films Implant Diffusion Etch Test/Sort Polish Photo Patterned wafer Photolithography is at the Center of the Wafer Fabrication Process 4 4 4 4 4 4 5 5 5 4 4

5 Resist Tone Negative: Prints a pattern that is opposite of the pattern that is on the mask. Positive: Prints a pattern that is the same as the pattern on the mask. 10 10 10 10 10 10 11 11 11 10 10

6 Negative Lithography Resist Tone
photoresist oxide silicon substrate Ultraviolet Light Exposed area of photoresist Shadow on photoresist Chrome island on glass mask Areas exposed to light become polymerized and resist the develop chemical. Island silicon substrate oxide photoresist Window Resulting pattern after the resist is developed. Negative Lithography 11 11 11 11 12 12 12 11 11

7 Positive Lithography Resist Tone
photoresist silicon substrate oxide Ultraviolet Light Areas exposed to light become photosoluble. Chrome island on glass mask Island Shadow on photoresist Window photoresist Exposed area of photoresist oxide silicon substrate Resulting pattern after the resist is developed. Positive Lithography 12 12 11 12 12 12 13 13 13 12 12

8 Ten Basic Steps of Photolithography
Introduction to the Lithography Process 1. Surface Preparation 2. Photoresist Application 3. Soft Bake 4. Align & Expose* 5. Develop 6. Hard Bake 7. Inspection 8. Etch 9. Resist Strip 10. Final Inspection Ten Basic Steps of Photolithography This following slides addresses Engineering Technology Content Standard 3 and 4 on Manufacturing and Materials. * Some processes may include a Post-exposure Bake 13 13 14 14 14 13

9 1. Surface Preparation (HMDS vapor prime)
Dehydration bake in enclosed chamber with exhaust Clean and dry wafer surface (hydrophobic) Hexamethyldisilazane (HMDS) Temp ~ °C Time ~ 60 sec. 14 13 14 14 15 15 15 14

10 1. Surface Preparation (HMDS vapor prime)
4/13/2017

11 1. Surface Preparation (HMDS vapor prime)
4/13/2017

12 2. Photoresist Application
Wafer held onto vacuum chuck Dispense ~5ml of photoresist Slow spin ~ 500 rpm Ramp up to ~ rpm Quality measures: time speed thickness uniformity particles & defects vacuum chuck spindle to vacuum pump photoresist dispenser 15 14 15 15 16 16 16 15

13 2. Photoresist Application
Resist spinning thickness T depends on: Spin speed Solution concentration Molecular weight (measured by intrinsic viscosity) In the equation for T, K is a calibration constant, C the polymer concentration in grams per 100 ml solution, h the intrinsic viscosity, and w the number of rotations per minute (rpm) Once the various exponential factors (a,b and g) have been determined the equation can be used to predict the thickness of the film that can be spun for various molecular weights and solution concentrations of a given polymer and solvent system 4/13/2017

14 3. Soft Bake Partial evaporation of photo-resist solvents
Improves adhesion Improves uniformity Improves etch resistance Improves linewidth control Optimizes light absorbance characteristics of photoresist 16 15 16 16 17 17 17 16

15 4. Alignment and Exposure
UV Light Source Mask Resist l Transfers the mask image to the resist-coated wafer Activates photo-sensitive components of photoresist Quality measures: linewidth resolution overlay accuracy particles & defects 17 16 17 17 18 18 18 17

16 4. Alignment and Exposure
Alignment errors (many different types) Mask aligner equipment Double sided alignment especially important in micromachines 4/13/2017

17 4. Alignment and Exposure
4/13/2017

18 4. Alignment and Exposure
Contact printing Proximity printing Self-aligned Projection printing : R = 2bmin = 0.6/NA 4/13/2017

19 4. Alignment and Exposure
The defocus tolerance (DOF) Much bigger issue in miniaturization science than in ICs Optics_Reference_Guide.html 4/13/2017

20 4. Alignment and Exposure
4/13/2017

21 Photolithography-DOF
The defocus tolerance (DOF) Much bigger issue in miniaturization science than in ICs A small aperture was used to ensure the foreground stones were as sharp as the ones in the distance. What you need here is a use a telephoto lens at its widest aperture.

22 Photolithography-DOF

23 5. Develop Soluble areas of photoresist are dissolved by developer chemical Visible patterns appear on wafer windows islands Quality measures: line resolution uniformity particles & defects vacuum chuck spindle developer dispenser to vacuum pump 18 18 18 17 19 19 19 18

24 6. Hard Bake Evaporate remaining photoresist Improve adhesion
Higher temperature than soft bake 19 18 19 19 20 20 20 19

25 7. Development Inspection
Optical or SEM metrology Quality issues: particles defects critical dimensions linewidth resolution overlay accuracy 20 19 20 20 21 21 21 20

26 8. Plasma Etch-Or Add Layer
CF4 Selective removal of upper layer of wafer through windows in photoresist: subtractive Two basic methods: wet acid etch dry plasma etch Quality measures: defects and particles step height selectivity critical dimensions Adding materials (additive) Two main techniques: Sputtering evaporation 21 20 21 21 22 22 22 21

27 8. Plasma Etch-Or Add Layer
4/13/2017

28 9. Photoresist Removal (strip)
No need for photoresist following etch process Two common methods: wet acid strip dry plasma strip Followed by wet clean to remove remaining resist and strip byproducts O2 Plasma 22 21 22 22 23 23 23 22

29 10. Final Inspection Photoresist has been completely removed
Pattern on wafer matches mask pattern (positive resist) Quality issues: defects particles step height critical dimensions 23 22 23 23 24 24 24 23

30 Clean-rooms, Wafer Cleaning
Yellow light and low particle size/density curves Cleaning steps RCA1-peroxides and NH3-removes organics RCA2-peroxide and HCl-removes metals Dry vs. wet cleaning Supercritical cleaning-no liquid phase 4/13/2017

31 Clean-rooms, Wafer Cleaning
4/13/2017

32 Clean-rooms, Wafer cleaning
Yield is the reason for the clean-rooms-the smaller the features the more important the cleanroom In the future people will work outside the cleanroom and only wafers will be inside the clean environment At universities, modularity (many different materials and processes) is more important than yield 4/13/2017

33 CD and Tg CD (e.g. 90 nm) i.e. critical dimension (the smallest feature made in a certain process) Glass transition temperature, above Tg the resist picks up dirt quite readily and the profile might get degraded 4/13/2017

34 Making a Mask Software Mask 4/13/2017

35 Moore’s ‘Law’ Observation and self fulfilling prophecy --not a physical law Is it running out of steam? 4/13/2017


Download ppt "Advanced Manufacturing Choices"

Similar presentations


Ads by Google