Presentation is loading. Please wait.

Presentation is loading. Please wait.

Circuit-Switched Coherence Natalie Enright Jerger*, Li-Shiuan Peh +, Mikko Lipasti* *University of Wisconsin - Madison + Princeton University 2 nd IEEE.

Similar presentations


Presentation on theme: "Circuit-Switched Coherence Natalie Enright Jerger*, Li-Shiuan Peh +, Mikko Lipasti* *University of Wisconsin - Madison + Princeton University 2 nd IEEE."— Presentation transcript:

1 Circuit-Switched Coherence Natalie Enright Jerger*, Li-Shiuan Peh +, Mikko Lipasti* *University of Wisconsin - Madison + Princeton University 2 nd IEEE International Symposium on Networks-on-Chip

2 Motivation Network on Chip for general purpose multi-core Replacing dedicated global wires Efficient/scalable communication on-chip Router latency overhead can be significant Exploit application characteristics to lower latency Co-design coherence protocol to match network functionality 4/26/2015 2 Natalie Enright Jerger - University of Wisconsin

3 Executive Summary Hybrid Network Interleaves circuit-switched and packet- switched flits Optimize setup latency Improve throughput over traditional circuit- switching Reduce interconnect delay by up to 22% Co-design cache coherence protocol Improves performance by up to 17% 4/26/2015 3 Natalie Enright Jerger - University of Wisconsin

4 Switching Techniques Packet Switching Efficient bandwidth utilization Router latency overhead Circuit Switching Poor bandwidth utilization Stalled requests due to unavailable resources Low latency Avoids router overhead after circuit is established 4/26/2015 4 Natalie Enright Jerger - University of Wisconsin Best of both worlds? Efficient bandwidth utilization + low latency

5 Circuit-Switched Coherence Two key observations Commercial workloads are very sensitive to communication latency Significant pair-wise sharing 4/26/2015Natalie Enright Jerger - University of Wisconsin 5 Construct fast pair-wise circuits? Commercial Workloads: SpecJBB, SpecWeb, TPC-H, TPC-W Scientific Workloads: Barnes-Hut, Ocean, Radiosity, Raytrace

6 Traditional Circuit Switching Traditional circuit-switching hurts performance by up to ~7% 4/26/2015 6 Natalie Enright Jerger - University of Wisconsin *Data collected for 16 in-order core chip multiprocessor

7 Circuit Switching Redesigned Latency is critical Utilize Circuit Switching for lower latency A circuit connects resources across multiple hops to avoid router overhead Traditional circuit-switching performs poorly My contributions Novel setup mechanism Bandwidth stealing 4/26/2015Natalie Enright Jerger - University of Wisconsin 7

8 Outline Motivation Router Design Setup Mechanism Bandwidth Stealing Coherence Protocol Co-design Pair-wise sharing 3-hop optimization Region prediction Results Conclusions 4/26/2015 8 Natalie Enright Jerger - University of Wisconsin

9 Traditional Circuit Switching Path Setup (with Acknowledgement) Significant latency overhead prior to data transfer Other requests forced to wait for resources 4/26/2015 Natalie Enright Jerger - University of Wisconsin Acknowledgement Configuration Probe Data Circuit 0 5 9

10 Novel Circuit Setup Policy Overlap circuit setup with 1 st data transfer Reconfigure existing circuits if no unused links available Allows piggy-backed request to always achieve low latency Multiple circuit planes prevent frequent reconfiguration Configuration Packet Data Circuit A 0 5 4/26/2015 10 Natalie Enright Jerger - University of Wisconsin

11 Setup Network Light-weight setup network Narrow Circuit plane identifier (2 bits) + Destination (4 bits) Low Load No virtual channels  small area footprint Stores circuit configuration information Multiple narrow circuit planes prevent frequent reconfiguration Reconfiguration Buffered, traverses packet-switched pipeline 4/26/2015 11 Natalie Enright Jerger - University of Wisconsin

12 Packet-Switched Bandwidth Stealing Remember: problem with traditional Circuit-Switching is poor bandwidth Need to overcome this limitation Hybrid Circuit-Switched Solution: Packet- switched messages snoop incoming links When there are no circuit-switched messages on the link A waiting packet-switched message can steal idle bandwidth 4/26/2015 12 Natalie Enright Jerger - University of Wisconsin

13 Hybrid Circuit-Switched Router Design T T T T T Allocators Crossbar Inj N S E W W E S N Ej 4/26/2015Natalie Enright Jerger - University of Wisconsin 13

14 HCS Pipeline Circuit-switched messages: 1 stage Packet-switched messages: 3 stages Aggressive Speculation reduces stages Buffer Write Virtual Channel/ Switch Allocation Switch Traversal Link Traversal 4/26/2015Natalie Enright Jerger - University of Wisconsin Switch Traversal Link Traversal 14 RouterLink RouterLink Link Traversal

15 Outline Motivation Router Design Setup Mechanism Bandwidth Stealing Coherence Protocol Co-design Pair-wise sharing 3-hop optimization Region prediction Results Conclusions 4/26/2015 15 Natalie Enright Jerger - University of Wisconsin

16 Sharing Characterization Temporal sharing relationship: 67-76% of misses are serviced by 2 most recently shared with cores 4/26/2015 16 Natalie Enright Jerger - University of Wisconsin Commercial Workloads: SpecJBB, SpecWeb, TPC-H, TPC-W Scientific Workloads: Barnes-Hut, Ocean, Radiosity, Raytrace

17 Directory Coherence Directory AddressStateSharers AExclusive2 BShared1,2 4/26/2015 17 Natalie Enright Jerger - University of Wisconsin 12 Read A 1 Forward Read A 2 Data Response A 3 Directory AddressStateSharers AShared1,2 BShared1,2

18 Coherence Protocol Co-Design Goal: Better exploit circuits through coherence protocol Modifications: Allow a cache to send a request directly to another cache Notify the directory in parallel Prediction mechanism for pair-wise sharers Directory is sole ordering point 4/26/2015Natalie Enright Jerger - University of Wisconsin 18

19 Circuit-Switched Coherence Optimization 4/26/2015 19 Natalie Enright Jerger - University of Wisconsin Directory AddressStateSharers AExclusive2 BShared1,2 12 Update A 1 Data Response A 2 3 Directory AddressStateSharers AShared1,2 BShared1,2 Ack A Read A 1

20 Region Prediction Each memory region spans 1KB Takes advantage of spatial and temporal sharing 4/26/2015 20 Natalie Enright Jerger - University of Wisconsin Directory AddressStateSharers A[0]Shared2 A[1]Shared2 12 Miss A[0] 1 Forward Read A[0] 2 Data Response A[0] 3 Region Table A-- B3 Region Table A2 B3 Region A Update 4 5 Read A[1] Directory AddressStateSharers A[0]Shared1,2 A[1]Shared2

21 Simulation Methodology PHARMSim Full-system multi-core simulator Detailed network level model Cycle accurate router model Flit-level contention modeled More results in paper 4/26/2015 21 Natalie Enright Jerger - University of Wisconsin

22 Simulation Workloads 4/26/2015 22 Natalie Enright Jerger - University of Wisconsin Commercial SPECjbbJava server workload 24 warehouse, 200 requests SPECwebWeb server, 300 requests TPC-WWeb e-commerce, 40 transactions TPC-HDecision support system Scientific Barnes-Hut8k particles, full run Ocean514x514, parallel phase RadiosityParallel phase RaytraceCar input, parallel phase Synthetic Uniform RandomDestination select with uniform random distribution Permutation TrafficEach node communicates with one other node (pair-wise)

23 Simulation Configuration Table with config parameters 4/26/2015 23 Natalie Enright Jerger - University of Wisconsin Processors Cores16 in-order general purpose Memory System L1 I/D Caches32 KB 2-way set associative 1 cycle Private L2 caches512 KB 4-way set associative 6 cycles 64 Byte lines Shared L3 Cache16 MB (1MB bank/tile) 4-way set associative 12 cycles Main Memory Latency100 cycles Interconnect: 4x4 2-D Mesh Packet-switched baselineOptimized 1-3 router stages 4 Virtual channels with 4 Buffers each Hybrid Circuit Switching1 router stage 2 or 4 Circuit planes

24 Network Results Communication latency is key: shave off precious cycles in network latency 4/26/2015 24 Natalie Enright Jerger - University of Wisconsin

25 Flit breakdown Reduce interconnect latency for a significant fraction of messages 4/26/2015 25 Natalie Enright Jerger - University of Wisconsin

26 HCS + Protocol Optimization Improvement of HCS + Protocol optimization is greater than the sum of HCS or Protocol Optimization alone. Protocol Optimization drives up circuit reuse, better utilizing HCS 4/26/2015Natalie Enright Jerger - University of Wisconsin 26

27 Uniform Random Traffic HCS successfully overcomes bandwidth limitations associated with Circuit Switching 4/26/2015 27 Natalie Enright Jerger - University of Wisconsin

28 Related Work Router optimizations Express Virtual Channels [Kumar, ISCA 2007] Single-cycle router [Mullins, ISCA 2004] Many more… Hybrid Circuit-Switching Wave-switching [Duato, ICPP 1996] SoCBus [Wiklund, IPDPS 2003] Coherence Protocols Significant research in removing overhead of indirection 4/26/2015 28 Natalie Enright Jerger - University of Wisconsin

29 Circuit-Switched Coherence Summary Replace packet-switched mesh with hybrid circuit-switched mesh Interleave circuit and packet switched flits Reconfigurable circuits Dedicated bandwidth for frequent pair-wise sharers Low Latency and low power Avoid switching/routing Devise novel coherence mechanisms to take advantage of benefits of circuit switching 4/26/2015Natalie Enright Jerger - University of Wisconsin 29

30 Thank you www.ece.wisc.edu/~pharm enrightn@cae.wisc.edu 4/26/2015 30 Natalie Enright Jerger - University of Wisconsin

31 Circuit Setup Novel Setup Policy Overlap circuit setup with first data transfer Store circuit information at each router Reconfigure existing circuits if no unused links available Allows piggy-backed request to always achieve low latency Multiple narrow circuit planes prevent frequent reconfiguration Reconfiguration Buffered, traverses packet-switched pipeline 4/26/2015Natalie Enright Jerger - University of Wisconsin 31


Download ppt "Circuit-Switched Coherence Natalie Enright Jerger*, Li-Shiuan Peh +, Mikko Lipasti* *University of Wisconsin - Madison + Princeton University 2 nd IEEE."

Similar presentations


Ads by Google