Presentation is loading. Please wait.

Presentation is loading. Please wait.

Contact Info. Name: Wing Leong, Chung (Zhong Rongliang, 锺荣亮) Email: mfcchung@ust.hk Tel: 2358 7211 Rm: 2130A.

Similar presentations


Presentation on theme: "Contact Info. Name: Wing Leong, Chung (Zhong Rongliang, 锺荣亮) Email: mfcchung@ust.hk Tel: 2358 7211 Rm: 2130A."— Presentation transcript:

1 Contact Info. Name: Wing Leong, Chung (Zhong Rongliang, 锺荣亮) Tel: Rm: 2130A

2 MFF Operation Training Handout
Examination Time 120 mins Open Book Passing Marks: 2 Qualify Question Part I 25 mc 60% (Safety) Part II 25 mc 60% (Operation) Part III 2 PF 60% (Process Flow) 5 mistakes / PF prepared and written by Patrick Wan

3 NFF Operation Training
MFF Operation Training Handout NFF Operation Training Introduction 概引 prepared and written by Patrick Wan

4 MFF Operation Training Handout
Introduction 概引 Contamination and Control 污染與管制 Process Flow 工藝流程 Do’s and Don’t’s 規矩 Discussion on Exam Questions 考題討論 NFF Tour 參觀實驗所 prepared and written by Patrick Wan

5 NFF Operation Training
MFF Operation Training Handout NFF Operation Training Contamination and Control 污染與管制 prepared and written by Patrick Wan

6 Research in NFF 微電子實驗所的科研項目
MFF Operation Training Handout Research in NFF 微電子實驗所的科研項目 Sub-micron SOI Display Technologies MEMS Integrate Power System Advanced Packaging Program Advanced Process Module Developing Sensor Technology Gene Chip Compound Semiconductor Technology Novel Magnetic – electronic devices 亞微米SOI 顯示技術 微機電系統 集成功率系統 先進封裝程序 先進工藝模塊發展 傳感器技術 基因晶片 化合物半導體技術 新微電器件 prepared and written by Patrick Wan

7 MFF Operation Training Handout
Outline Contamination Process Verification Scheme Cleanliness Levels Process Compatibility Wafer Status General Processing Requirements of Individual Modules 污染 工藝驗証指引 潔淨級別 工藝兼容性 晶片潔淨指數 個別模組的要求 prepared and written by Patrick Wan

8 What is contamination 何謂污染
MFF Operation Training Handout What is contamination 何謂污染 Contamination is the intrusion of impurities into devices that leads to their failure Examples: Degradation of Oxide Integrity Threshold Voltage Shift Leakage 污染:器件因雜質而導致功能失效 例如: 氧化層失效 國值電壓漂移 漏電 prepared and written by Patrick Wan

9 MFF Operation Training Handout
Knock-on Effect Contaminants Furnace A Cleaning Bath Furnace B Etcher A Bath for Resist Strip Tools e.g. Cassette Bath for Resist Strip prepared and written by Patrick Wan

10 Why contamination matters to you? 污染與我可干?
MFF Operation Training Handout Why contamination matters to you? 污染與我可干? It’s like disease, easy to spread across the laboratory It’s hard to be stopped once caused Any contamination you cause ruins others’ years of efforts You are the only one who can help us stop it from spreading 有如瘟疫一般,易於傳播到實驗的每個角落 一旦發生,難以制止 因你做成的污染,可把別人多的努力毀於一旦 可以幫助我們阻止污染傳播開去的,只有你! prepared and written by Patrick Wan

11 3 Commonest CMOS Killers CMOS三煞
MFF Operation Training Handout 3 Commonest CMOS Killers CMOS三煞 Metallic Contaminants Ions of heavy and transition metals (Au, Ag, Pt, Ni) Ions of standard Metal (Al, Ti) Alkali Ions (K, Na) Orgnaic Photoresist and Polymers Body Oil Particles Carbon Metal pieces from lifted-off wafers You name it 金屬污染物 重金屬和過渡金屬 (金、銀、白金、鎳) 的離子 常規金屬的離子(鋁、鈦) 鹼性離子 (鉀、鈉) 有機污染物 光刻膠和聚合物 體液 微粒 剝離了的金屬碎片 不能盡錄 prepared and written by Patrick Wan

12 Contamination Control 污染管制
MFF Operation Training Handout Contamination Control 污染管制 NFF Policy and Rules Process Verification Scheme Processing Requirements of individual modules Your awareness and observance 政策與規則 工藝驗証指引 個別模組要求的工藝規格 你對污染的關注與規則的尊從 prepared and written by Patrick Wan

13 NFF Policy and Rules 政策與規則
MFF Operation Training Handout NFF Policy and Rules 政策與規則 All Users General Cleanroom Rules and Regulations Non-CMOS Users Process Flow Satisfactory Completion of Training Courses CMOS Users Non-CMOS Users’ requirement ELEC508 or Equivalent 所有實驗所的使用者 一般無塵房的規則 Non-CMOS使用者 工藝流程 訓練合格 CMOS使用者 符合Non-CMOS使用者的要求 完成ELEC508或同等經驗 prepared and written by Patrick Wan

14 Process Verification Scheme 工藝驗証指引
MFF Operation Training Handout Process Verification Scheme 工藝驗証指引 Every thing in NFF Chemicals Materials Wafers Equipment and Machines Classified by Contamination Risks Process Compatibility 7 equipment Combination 4 Wafer Statuses 所有在實驗內的東西 藥品 物料 晶片 機台 按以下因素分類 污染風險 工藝兼容性 7種機台的組合 4個晶片潔淨指數 prepared and written by Patrick Wan

15 MFF Operation Training Handout
What’s Risk Low Risk Si Wafer Al Al + << Na + Au Au + << High Risk prepared and written by Patrick Wan

16 Contamination Risks and 3 Cleanliness Levels 污染風險與三個潔淨級別
MFF Operation Training Handout Contamination Risks and 3 Cleanliness Levels 污染風險與三個潔淨級別 Clean (Lowest) No contaminants Semi-Clean (Medium) Ions of standard materials such as Al, Cr, and Ti Non-Standard (Highest) Ions of gold, copper, GaAs, Ga Nitride, K, Na and materials/chemicals not yet classified 潔淨 (最低風險) 無污染物 半潔淨 (中度風險) 常規金屬如鋁、鉻和鈦的離子 非常規 (最高風險) 非常規物質如金、銅、砷化鎵、氮化鎵、鉀、鈉和未分曾分類的物料或藥品的離子 prepared and written by Patrick Wan

17 Process Compatibility 工藝兼容性
MFF Operation Training Handout Process Compatibility 工藝兼容性 CMOS Compatible Front End Processes of ELEC508, PMOS, NMOS, and SOI Non-CMOS Compatible MEMS CMOS back-end process You Name it CMOS兼容 ELEC508、PMOS、NMOS和SOI的前工藝 非CMOS兼容 微機電系統 CMOS的後工藝 不能盡錄 prepared and written by Patrick Wan

18 MFF Operation Training Handout
3 Cleanliness + 2 Compatibilities = 4 Wafer Statuses 三個潔淨級別 + 兩種工藝兼容性 = 4種晶片潔淨指數 + 7 Combinations + 7個組合 Clean 潔淨 Semi-Clean 半潔淨 Non-Standard 非常規 Clean CMOS CMOS Both 兼用 Clean CMOS/Non-CMOS Clean/Semi-clean CMOS/Non-CMOS Clean Non-CMOS Semi-clean Non-CMOS Non-Standard Non-CMOS Non-CMOS Semi-clean/Non-Standard Non-CMOS prepared and written by Patrick Wan

19 Mechanism of PV Scheme 工藝驗証指引的機制
MFF Operation Training Handout Mechanism of PV Scheme 工藝驗証指引的機制 Downward Compatible CMOS -> Non-CMOS Clean -> Semi-clean or Non-standard Semi-clean -> Non-standard But not the Reverse Non-standard -> Semi-clean -> Clean Once contaminated always contaminated Exceptions: Decontaminated MILC Wafers Decontaminated wafers after Post CMP Grinding Cleaning 向下兼容 CMOS -> Non-CMOS 潔淨-> 半潔淨或非常規 半潔淨-> 非常規 不准掉頭 非常規 -> 半潔淨-> 潔淨 一被污染,永遠污染 例外情況: 經過除污的金屬誘導橫向晶體化的晶片 經過除污的化學機械拋光晶片 prepared and written by Patrick Wan

20 MFF Operation Training Handout
Decontamination 除污工藝 Wafer Status after decontamination Clean Non-CMOS Not for: Non-standard Wafers Almost all Semi-Clean Wafers Not necessary for Non-CMOS users 除污後的晶片潔淨指數 潔淨 NON-CMOS 不合於: 非常規晶片 大部份半潔淨晶片 NON-CMOS使用者一般不須用此工藝 prepared and written by Patrick Wan

21 Processing Requirements of individual processes 個別模組要求的工藝規格
MFF Operation Training Handout Processing Requirements of individual processes 個別模組要求的工藝規格 Wetstations Oxidation, Diffusion and CVD Implantation Dry Etching Sputtering Photolithography 濕化工藝台 氧化、擴散與化學汽相澱積 離子注入 乾化蝕刻 濺射 光刻 prepared and written by Patrick Wan

22 MFF Operation Training Handout
Wetstations 濕化工藝台 General Safety No chemicals bottle left on the floor Wafers have to be dump-rinsed in the dump-rinser for at least 4 cycles immediately after any chemical processes No organic solvent (IPA, Acetone) HF Highly hazardous Chemical Solutions (HF, BOE, 777 and Freckle etch) Concentration below 20% is more insidious and symptoms may be delayed for up to 24 hours Use of Chemical Unconventional use of chemical requires permission and booking. Mixing own chemicals is only allowed at semi-clean or non-standard wetstation Disposal HF, Freckle Etch and BOE are needed to be drained into the HF tank by NFF staff. Acid and Base are needed to be drained by users themselves with an aspirator Contamination No Glass, Metals and Manual coated wafers at CLEAN wetstations No Metals at the sulfuric acid bath for resist stripping Separation of cassettes, tools containers and gloves from those of different stations No lifted-off wafers put in baths No mess left at wetstations prepared and written by Patrick Wan

23 Layout of Wetstations 濕化台的分佈
MFF Operation Training Handout Layout of Wetstations 濕化台的分佈 prepared and written by Patrick Wan

24 Labels of Wet-stations 每個濕化台所用的記號
MFF Operation Training Handout Labels of Wet-stations 每個濕化台所用的記號 Lowest A:CMOS Cleaning Station B:Non-CMOS Cleaning Station C:Oxide and nitride etch G:TMAH Etching Station E:Semi-clean Non-metal Processing Station D:Standard metal processing Station Risks of Contamination Y:Semi-clean Organic Stripper Z:Semi-clean Develop Station Z2:Semi-clean/Non-standard Dump Rinser F:Non-standard Processing Station J:ASTRI’s Station (for ASTRI only) Highest prepared and written by Patrick Wan

25 Summary of Wetstation Arrangement 濕化台的調度
MFF Operation Training Handout Summary of Wetstation Arrangement 濕化台的調度 Non- Standard Semi Clean Clean Non-Std Chemicals / Materials Start Ti-Silicide? Wet-stations D, E Liftoff? Dump Rinsers of Wet-station F Bath Z2 Std Metals e.g. Al/Cr/ Ti CMOS? Wet-Station D “Decontamina -table” Wet-station X, G, C and A Yes No and B B3:Decontamination Downward Compatible Once contaminated by Non standard group (except Z2) cannot pass this line Once contaminated by semi-clean group cannot pass this line To find which baths you are allowed to use, look at the process history prepared and written by Patrick Wan

26 Oxidation, Diffusion and CVD 氧化、擴散與化學汽相澱積
MFF Operation Training Handout Oxidation, Diffusion and CVD 氧化、擴散與化學汽相澱積 Wafer Substrates Thickness Full Wafers Preferred Appropriate Cleaning Processes No Photoresist No organic No metals for most furnaces No wafers of uncertain background 晶片材料 厚度 完整一片的晶片較合 用適當的工藝清洗晶片 不許有光刻膠 不許有有機物 大部份爐都不可入金屬 不許有來歷不明的晶片 prepared and written by Patrick Wan

27 MFF Operation Training Handout
Implantation 離子注入 Full wafers without chips and cracks Spices (B, BF2, As, P, H) Dosage High Temperature Photoresist Wafers with no resist on the rim and back side prepared and written by Patrick Wan

28 MFF Operation Training Handout
Dry Etching 乾化蝕刻 Materials to etch (Oxide, Nitride, Si, Poly, some metal, compound Semiconductor) Thickness to etch Full Wafers Preferred Single Side Photoresist Coating No wafers with edges wrapped with photoresist. prepared and written by Patrick Wan

29 MFF Operation Training Handout
Sputtering 濺射 Limited Target Materials Thickness < 5000A Full Wafers Preferred No photoresist nor broken wafers on Semi-clean Sputterers Lift-off wafer in Non-Std sputterers only 濺射靶的材料是有限制的 一般厚度不多於5000A 完整晶片較合 prepared and written by Patrick Wan

30 MFF Operation Training Handout
Photolithography 光刻 Contamination caused by photoresist Inhalation of Organic Vapors and Solvent (HMDS, Photoresist) No acid nor base in Yellow Room Coater and developer Tracks not for double-side photoresist coating, and negative photoresist Disposal of Waste (IPA, Acetone) Pour the waste into waste collection bottles Don’t use an aspirator to suck it into the N-tank Be careful of EKC, which is a highly corrosive solvent Containers used for developing or any process require booking on the computer system. Transparency only allow on AB-M 2 prepared and written by Patrick Wan

31 Contamination arising from Integration
MFF Operation Training Handout Contamination arising from Integration Inter-level Contamination Caused by contaminants from incompatible cleanliness groups E.g. Non-standard group contaminates Semi-clean group Intra-level Contamination Caused by contaminants from the same cleanliness level Heavily Doped Photoresist/PSG Vs some CLEAN equipment Cr/Al/ITO vs Some SEMI-CLEAN equipment PCB boards vs Non-Standard Sputterers Extra-level Contamination Caused by contamination sources other than the above E.g. Photoresist, Particles, Body Oils, to name but a few prepared and written by Patrick Wan

32 NFF Operation Training
MFF Operation Training Handout NFF Operation Training Process Flow 工藝流程 prepared and written by Patrick Wan

33 MFF Operation Training Handout
Outline Aim Standard Format Common Mistakes Process Guidelines prepared and written by Patrick Wan

34 MFF Operation Training Handout
Aim of Process Flows Functions: Help you to plan ahead Track down wafer movement Reduce uncertainty keep the unqualified away from NFF Aim: Control Contamination prepared and written by Patrick Wan

35 MFF Operation Training Handout
Sample Process Flow prepared and written by Patrick Wan

36 MFF Operation Training Handout
Standard Format Heading Cross-sections Wafer Status Step no. Equipment Cleanliness Compatibility Processes Requirements prepared and written by Patrick Wan

37 Mistake 1 - Oversimplification
MFF Operation Training Handout Mistake 1 - Oversimplification prepared and written by Patrick Wan

38 Mistake 2 – Wrong Initial Wafer Status
MFF Operation Training Handout Mistake 2 – Wrong Initial Wafer Status prepared and written by Patrick Wan

39 Mistake 3 – Incompatible equipment Status
MFF Operation Training Handout Mistake 3 – Incompatible equipment Status prepared and written by Patrick Wan

40 Mistake 4 - Double-side PR Coating
MFF Operation Training Handout Mistake 4 - Double-side PR Coating prepared and written by Patrick Wan

41 Mistake 5 – Wrong PR Stripping
MFF Operation Training Handout Mistake 5 – Wrong PR Stripping prepared and written by Patrick Wan

42 MFF Operation Training Handout
Other Mistakes Mistake 6 - Misuse of low stress nitride as oxidation masks Mistake 7 – Misuse of Decontamination Mistake 8 – Wafer Edge Coating Mistake 9 – missing backside rinsing prior to implantation prepared and written by Patrick Wan

43 MFF Operation Training Handout
Process Guidelines 7 Cleanings 4 Resist Stripping Post-Deep Si Etch Polymer Removal 3 Etchings to Etch Through Wafers Liftoff Non-standard Metal (Gold) prepared and written by Patrick Wan

44 MFF Operation Training Handout
Cleanings Sulfuric Clean (CMOS) RCA2(Decontamination) Pre-diffusion Clean (CMOS) Pre-deposition Clean (CMOS) Sulfuric Clean (Non-CMOS) Post-Metallization Clean Mask Cleaning prepared and written by Patrick Wan

45 MFF Operation Training Handout
Sulfuric Clean (CMOS) CMOS Only No photoresist, metals nor silicides A1:H2SO4:H2O2 + A2:HF:H2O 1:50 Removing organics and gross contaminants e.g. scribe dust. H2SO4 – reduces organics to carbon H2O2 – oxidizes carbon to form CO2 prepared and written by Patrick Wan

46 RCA2(Decontamination)
MFF Operation Training Handout RCA2(Decontamination) CLEAN or Decontaminatable Wafers No photoresist, metals B3:H2O:H2O2:HCl at 70 ºC (fresh) Drain after use Removes metallic contaminants and alkali ions HCl – reacts with most metals to form soluble chlorides H2O2 – acts as a buffer/oxidant prepared and written by Patrick Wan

47 Pre-diffusion Clean (CMOS)
MFF Operation Training Handout Pre-diffusion Clean (CMOS) CMOS Only No photoresist, metals nor silicides Process: A3:H2SO4:H2O2 – cleans organics Dump rinse A2:HF:H2O (1:50) Spin Dry Prior to the growth of high quality oxide prepared and written by Patrick Wan

48 Pre-deposition clean (CMOS)
MFF Operation Training Handout Pre-deposition clean (CMOS) CMOS only No photoresist, metals nor silicides Process: A1:Sulfuric Clean Dump rinse A2:HF:H2O (1:50) cleans native oxide Prior to deposition prepared and written by Patrick Wan

49 Sulfuric Clean (Non-CMOS)
MFF Operation Training Handout Sulfuric Clean (Non-CMOS) Clean MEMS only No photoresist, metals nor silicides B1:H2SO4:H2O2 + B2:HF:H2O 1:50 Removing organics and gross contaminants e.g. scribe dust. H2SO4 – reduces organics to carbon H2O2 – oxidizes carbon to form CO2 prepared and written by Patrick Wan

50 MFF Operation Training Handout
Post-Metal Clean Semi-clean No non-standard materials esp. Gold, nor samples undergone liftoff Process: - Clean wafers with Fresh MS2001 in Bath Y2 (Optional) Spin wafers Dry with Spin-dryer D prepared and written by Patrick Wan

51 MFF Operation Training Handout
Mask Cleaning Semi-Clean Mask Only Process: Y2:MS2001 Dump-rinse Dry by an N2 Gun Oven prepared and written by Patrick Wan

52 MFF Operation Training Handout
Resist Strips Sulfuric Acid (E4) CLEAN SEMI-CLEAN Without Metals/ Silicides MS2001 (Y1) Standard Metals Semi-Clean O2 Ashers + Wet (E4, Y1) High-temp Treated PR Non-Standard W2 Non-Standard prepared and written by Patrick Wan

53 Resist Strip (General)
MFF Operation Training Handout Resist Strip (General) Semi-Clean and Clean No metal nor silicide, nor ITO, nor heavily doped/implanted photoresist, nor undensified doped LTO Process: E4:Sulfuric Acid Dump-rinse Inspection prepared and written by Patrick Wan

54 Resist Strip (post implatation or high temp treatment)
MFF Operation Training Handout Resist Strip (post implatation or high temp treatment) Semi-Clean and Clean No metal nor silicides Process: O2 Plasma ashing E4:Sulfuric Acid/Y1:MS2001(it depends) Dump-rinse Inspection prepared and written by Patrick Wan

55 Resist Strip (Standard Metals)
MFF Operation Training Handout Resist Strip (Standard Metals) Standard Metals No samples undergone liftoff Process: Y1:MS2001 Dump-rinse Spin Dry Inspection prepared and written by Patrick Wan

56 Resist Strip (Non Standard)
MFF Operation Training Handout Resist Strip (Non Standard) Standard Metals No samples undergone liftoff Process: W2:MS2001 Dump-rinse Spin Dry Inspection prepared and written by Patrick Wan

57 Post ICP Deep Silicon Etch Polymer Removal
MFF Operation Training Handout Post ICP Deep Silicon Etch Polymer Removal Clean CMOS/Non-CMOS Wetstation X Process EKC265 Stripper 70 C 20 mins Inspection prepared and written by Patrick Wan

58 Etching Through Wafers
MFF Operation Training Handout Etching Through Wafers Dry Etch Deep-silicon Etcher Under Installation KOH Etching furiously Non-standard Process TMAH CLEAN Process Nitride + Oxide as Etching Masks prepared and written by Patrick Wan

59 ICP Deep Silicon Etcher
MFF Operation Training Handout ICP Deep Silicon Etcher Method 1 *8 um thick Photoresist Method 2 Scratches Substrate Oxide Substrate Sub- strate Substrate Particles generated from here *Note: The manual photoresist developing or polymer removal must be done at Wetstation X prepared and written by Patrick Wan

60 KOH Etching – Non-standard
MFF Operation Training Handout KOH Etching – Non-standard Nitride Substrate Oxide What if resist is scratched away? Sub- Sub- strate Substrate Ideal Photoresist Particles Generated From here Substrate Scratches prepared and written by Patrick Wan

61 MFF Operation Training Handout
TMAH Etching Nitride Oxide Substrate Photoresist Particles Generated From here Scratches Sub- strate Ideal Substrate What if resist is scratched away? Substrate prepared and written by Patrick Wan

62 MFF Operation Training Handout
Particulate - liftoff Photoresist Substrate Step 1 Metal Substrate Step 2 Photoresist Metal Residue Substrate Step 3 Photoresist Residue prepared and written by Patrick Wan

63 Particulate – liftoff (con’t)
MFF Operation Training Handout Particulate – liftoff (con’t) Guideline for liftoff process Acetone, and IPA used should be poured into dedicated waste bottles Make it the last step Once liftoff is done, the samples can’t stop generating contaminants. Don’t even think about putting samples into any processing baths, That is extremely irresponsible! prepared and written by Patrick Wan

64 Metallic Contaminant – Gold
MFF Operation Training Handout Metallic Contaminant – Gold Gold Ions Most-Contaminating because of its high mobility Easy to spread into the atmosphere, if heated From films, equipment, tools, containers and cassettes, which are in contact with Au+ ions Totally incompatible with almost all processes and equipment except for Bath Z2 Wetstation F Thermco E4: Thermal Anneal (Gold) prepared and written by Patrick Wan

65 Metallic Contaminant – Gold
MFF Operation Training Handout Metallic Contaminant – Gold Guidelines for processing gold Make it as close to the last step as possible. Once samples are gold-contaminated, you are banned from doing almost all processes. Never put gold-contaminated samples into clean and semi-clean areas, (in particular sulfuric acid for resist stripping). Otherwise you will kill the whole laboratory! prepared and written by Patrick Wan

66 NFF Operation Training
MFF Operation Training Handout NFF Operation Training Do’s and Don’t’s 規矩 prepared and written by Patrick Wan

67 Chemicals and Wetstations
MFF Operation Training Handout Chemicals and Wetstations Don’t bring your own chemicals or materials into NFF unless you have approval Don’t take any chemicals or materials away. That is theft. Don’t leave chemical bottles on the floor Never put into CLEAN baths wafers that have undergone metallization. Don’t leave anything, especially incompatible materials, on the top of wet-stations. Disposal of HF and BOE must be done by trained persons Don’t be so irresponsible as to leave down the tools you have used and go away Don’t mix up the gloves, cassettes and tools of one station with those of others. You should look at the labels! Clean all the tools and containers you have used and place them back to where they are No ORGANIC Solvent at wetstations in Class 1000 No acid and base in Yellow Room prepared and written by Patrick Wan

68 MFF Operation Training Handout
Store wafers of different wafer status in separate boxes Always inspect your wafers after a resist strip to ensure cleanliness Don’t put non-standard materials, especially gold into semi-clean and clean baths Don’t put liftoff samples in any processing baths Always keep your samples in your own containers or cassette boxes in case they contaminate others or be contaminated. Always be careful with photoresist which is very contaminating. Arrange non-standard steps as close to the end of process flow as possible. After cleaning, wafers must go straight to furnaces without being touched by any objects prepared and written by Patrick Wan

69 MFF Operation Training Handout
Process Flows Plan before work. If you fail to plan you are planning to fail Write what you do and do what you write. Once your process becomes different from what you have planed, sumit us a new flow Never copy others’ process flow Never let others copy your process flow Do let us know, if you find your processes departing from our scheme. Proofread before submitting them prepared and written by Patrick Wan

70 MFF Operation Training Handout
Personal Don’t shortcut any policy and rules Keep yourself abreast of information about rules and regulations, as it is dynamic and subject to change. Report to us any mistakes you or others have made. Don’t hide it! be aware of contamination that you may cause and others cause Remember, this is a shared lab. Everyone must follow lab policy to keep it clean Use your judgment. Avoid contamination of wafers or equipment Don’t stay in the laboratory if not necessary. NFF is not a meeting place! prepared and written by Patrick Wan

71 MFF Operation Training Handout
Useful Webpages NFF User Guide (well underway) Hook up to and then click on “Safety course registration” or NFF Booking System Material Request Form Logon NFF Booking System and then click on “User Info” Other process information prepared and written by Patrick Wan

72 NFF Operation Training
MFF Operation Training Handout NFF Operation Training Q&A 答問時間 prepared and written by Patrick Wan


Download ppt "Contact Info. Name: Wing Leong, Chung (Zhong Rongliang, 锺荣亮) Email: mfcchung@ust.hk Tel: 2358 7211 Rm: 2130A."

Similar presentations


Ads by Google