Presentation is loading. Please wait.

Presentation is loading. Please wait.

Op-Amp Noise Calculation and Measurement

Similar presentations


Presentation on theme: "Op-Amp Noise Calculation and Measurement"— Presentation transcript:

1 Op-Amp Noise Calculation and Measurement
Art Kay Senior Applications Engineer Texas Instruments Inc – Tucson This presentation covers intrinsic noise. Intrinsic noise is noise generated by the resistors, and active devices (i.e. op-amps) in the circuit. This presentation does not cover extrinsic noise. Extrinsic noise is noise generated from external sources (i.e. 60Hz, switching noise, RF radio, cosmic noise).

2 Noise Presentation Contents
Review of white noise and 1/f noise Noise Hand Calculations Tina Spice Noise Analysis Noise Measurement Appendix 1 – Measurement Example Appendix 2 – Analysis Details The key things covered in this presentation are hand analysis, simulation, and measurement. The same example is used throughout this presentation and the results for calculation, simulation and measurement are compared. In general I recommend solving noise problems using multiple independent techniques to insure a correct answer. For example, if the results for hand calculation and simulation are the same you can have confidence that the answer is correct.

3 What is Intrinsic Noise Why do I Care?
Ideal Real Noise is a problem because it introduces an error into your signal. In audio, for example, noise can be a hiss or popping sound. In a sensor system, noise can be an error in the measured sensor output (e.g. pressure, temperature). In this presentation we will learn how to calculate, simulate, and measure noise. We will also get insight into how noise can be reduced. The op-amp itself generates noise Noise acts as an error—it corrupts the signal Calculate, simulate, and measure this noise

4 White noise or broadband noise
normal distribution This slide shows the time domain waveform for broadband noise. The distribution for broadband noise is also shown; note that it is Gaussian.

5 1/f or pink noise normal distribution
This slide shows the time domain waveform for 1/f noise. The distribution for broadband noise is also shown; note that it is Gaussian. Note that 1/f noise sounds similar to white noise but is not as harsh (i.e. lower frequency content dominates).

6 Bimodal (or multi-modal) distribution
(Burst) Popcorn Noise Bimodal (or multi-modal) distribution Popcorn noise is a sudden change (or step) current or voltage. It has an non Gaussian distribution. Typically it has a bimodal or multi-modal distribution. The example above has three discrete modes of operation that it jumps between. Popcorn noise is low frequency (.1 to 1kHz). Popcorn noise sounds like popcorn when played on a speaker. Popcorn noise is caused by defects. Popcorn noise cannot be mathematically predicted (defective devices have it, good ones don’t). This presentation does not give further details on popcorn noise.

7 Synonyms Broadband Noise – White Noise, Johnson Noise, Thermal Noise
1/f Noise – Pink Noise, Flicker Noise, Low Frequency Noise, Excess Noise Burst Noise – Popcorn Noise, Red Noise random telegraph signals (RTS). This slide lists the synonyms of different noise terms. Strictly speaking, these terms are not 100% synonymous. For example, broadband noise on an op-amp may be a combination of thermal noise and shot noise.

8 Statistics Review – PDF
Outline of Gaussian Curve A brief background in statistics is helpful with noise analysis because noise has a Gaussian distribution. The probability DENSITY function creates the outline of the Gaussian curve. The probability DISTRIBUTION function gives the probability that an event will occur in an interval. In the case of noise, we will use the probability DISTRIBUTION function to estimate peak-to-peak noise. Probability an event will occur within interval For example, if P(-1<x<+1) = 0.3 then there is a 30% chance that x is between -1 and 1.

9 STDEV Relationship to Peak-to-Peak for a Gaussian PDF
+/-3 STD Deviations = 6 sigma 99.7% This slide shows that the probability DISTRIBUTION function indicates that there is a 68% chance that a peak will occur between +/- 1 standard deviation. For +/- 3 standard deviations (or 6 sigma) the probability is 99.7%. This is often used as an estimate of peak-to-peak. Keep in mind, however, that the tails of the Gaussian curve are infinite and so there is always a finite probability that noise can be measured outside of the interval +/-3 sigma.

10 STDEV Relationship to Peak-to-Peak
Number of Standard Deviations Percent chance of measuring voltage 2σ (same as ±σ) 68.3% 3σ (same as ±1.5σ) 86.6% 4σ (same as ±2σ) 95.4% 5σ (same as ±2.5σ) 98.8% 6σ (same as ±3σ) 99.7% 6.6σ (same as ±3.3σ) 99.9% 6 sigma and 6.6 sigma are common ways of estimating the peak-to-peak noise. If you are familiar with noise analysis, you may have heard the terms standard deviation and RMS used interchangeably. Is RMS equivalent to standard deviation? Is standard deviation the same as RMS?

11 RMS vs STDEV Stdev = RMS when the Mean is zero (No DC component). For all the noise analysis we do this will be the case. The noise signals we consider are Gaussian signals with zero mean. Note that the two formulas are equal to each other if you set μ = 0 (zero average). See further information in appendix. Standard deviation RMS Where xi – data samples μ – average of all samples n – number of samples Where xi – data samples n – number of samples Question: Is RMS = STDEV? Answer: Yes and No! If the signal does not have a dc offset the answer is yes. If the signal has a DC offset (average) the answer is no. Fortunately, op-amp/resistor noise does not have a dc offset so we can consider them to be equivalent. It is important to note, however, that some instruments / simulation tools will report RMS with the offset term (ac+dc) and others will report RMS without the offset term (ac). DC component will create s reading error q = RMS

12 Add Noise As Vectors (RMS Sum)
Sum of two Random Uncorrelated Noise Sources Vn1 Vn2 Σ VnT An important concept in nose analysis is adding noise values. Noise cannot be added algebraically (e.g. 3+5=8). Noise must be added as a vector (e.g. sqrt(3^2 + 5^2) = 5.83). It is important to note that this relationship applies to uncorrelated random noise. If the noise source is correlated a different formula applies.

13 Thermal Noise The mean- square open- circuit voltage (e) across a resistor (R) is: en = √ (4kTKRΔf) where: TK is Temperature (ºK) R is Resistance (Ω) f is frequency (Hz) k is Boltzmann’s constant (1.381E-23 joule/ºK) en is volts (VRMS) To convert Temperature Kelvin to TK = oC + TC Random motion of charges within a resistor generate noise. The equation shown above gives the total rms noise generated by a resistor. Random motion of charges generate noise

14 Thermal Noise Noise Spectral Density vs. Resistance
en density = √ (4kTKR) Noise Spectral Density vs. Resistance nV/rt-Hz This chart was generated using the equation given in the last slide. Note that the equation was divided by the square root of bandwidth to give a spectral density. This chart is useful because it gives you a quick way of comparing resistor noise to op-amp noise. Most op-amps specify noise in nV/rtHz. So, for example a very low noise amplifier may have a 1nV/rtHz noise. This corresponds to approximately 70ohms on the curve above. Thus, for this example, op-amp you should try to keep resistance below 70ohms. Resistance (Ohms) Resistance versus Spectral Density

15 Op-Amp Noise Model Noise Model (IN+ and IN- are not correlated)
OPA277 Data VN IN- IN+ Tina Simplified Model This slide show the typical op-amp noise model. In some cases it is important to have two separate current noise sources as shown in the upper left. In other cases a single noise source between the inputs is adequate. The noise sources represent the spectral density curves. VN IN

16 Hand Calculation Technique
It is important to do both hand calculations as well as simulations to have confidence in your answer. In some cases the hand calculation makes simplifying assumptions to get a quick “ball-park” figure and the simulation is used to get a more exact result.

17 Noise Analysis for Simple Op-Amp Circuit
Noise Sources Op-Amp Voltage Noise Source Op-Amp Current Noise Sources Resistor Noise Sources Calculation Considerations Convert Noise Spectrum to Noise Voltage - External Filter Bandwidth Limit - Op-Amp Closed Loop Bandwidth Noise Gain The noise analysis will involve looking at noise voltage sources, noise current sources, and resistor noise sources. The gain and bandwidth of the op-amp will also effect the total noise calculation.

18 Calculus Reminder Area 4 Height 9 Width
A quick calculus reminder before we jump into the analysis: Remember that the integral of a function is the area under it. Also the area of a rectangle is simply the width x length. So the integral of a rectangle is the width x height. Integral = Area under the curve

19 Convert Noise Spectrum to Noise Voltage (Broadband Only – Simple Case)
5V / rtHz You can’t integrate the Voltage spectral density curve to get noise Voltage Spectral Density (V/rt-Hz) Wrong Freq (Hz) 10 You integrate the Power spectral density curve to get noise Power Spectral Density (V2/Hz) 25V2 / Hz A common misunderstanding with noise analysis is the belief that total noise can be computed by integrating the VOLTAGE or CURRENT spectral density. In fact, you must integrate the POWER spectral density. The figure at the top of the slide shows that the result has strange units when integrating voltage spectral density. The figure at the bottom integrates power spectral density (recall that power is V^2/R for voltage and I squared R for current). When integrating power spectral density then taking the square root of the result, you get the correct units. Thus, when computing total noise make sure the you integrate the power spectrum. 10 Freq (Hz) Correct

20 Convert Noise Spectrum to Noise Voltage (Broadband Only – Simple Case)
You integrate the Power spectral density curve to get noise Correct Noise Power = V2 * BW (Hz) Noise Voltage = V * BW (Hz) Hz Hz

21 Noise Gain for Voltage Noise Source
Noise Gain – Gain seen by the noise source. Example: Noise_Gain = (R2/R1) + 1 = 2 Signal_Gain = -R2/R1 = -1 Output_Noise = Vn*(Noise_Gain) * Referred to Output Another concept that we must understand before doing a noise analysis is “Noise Gain”. Noise gain is the gain seen by the noise source. It can be different from the signal gain. The example above shows a circuit with a noise gain of 2 and a signal gain of 1. Signal Source Noise Source Referred to Input

22 Understanding The Spectrum: Total Noise Equation (Current or Voltage)
enT = √[(en1/f)2 + (enBB)2] where: enT = Total rms Voltage Noise in volts rms en1/f = 1/f voltage noise in volts rms enBB = Broadband voltage noise in volts rms This slide points out that we will compute the effect of the 1/f region and the broadband region separately. These two results will be added together with the root sum of squares (add as vectors).

23 Low Pass Filter Shapes the Spectrum
How do we convert this plot to noise? RMS The goal will be to convert the noise spectral density curve to a total noise. We will do this by integrating the power spectral density curve (voltage squared). We must also consider the bandwidth (low pass filter). Recall that it is easy to integrate a rectangle. We will convert the low pass region to a rectangle or “Brick-Wall” filter. Broadband Region Low pass filter 1/f Region

24 Real Filter Correction vs Brickwall Filter
where: fP = roll-off frequency of pole or poles fBF = equivalent brickwall filter frequency This figure describes how a low pass filter is converted to a brickwall filter. Note that the brick wall is a rectangle. Also note that as the order of a filter increases it approaches the brickwall shape (e.g. 3 order is closer to the brick wall then first). The brickwall filter bandwidth is computed by taking the 3db bandwidth and multiplying by a factor. As the order of the filter increases the number approaches 1.

25 AC Noise Bandwidth Ratios for nth Order Low-Pass Filters
BWn = (fH)(Kn) Effective Noise Bandwidth Real Filter Correction vs Brickwall Filter Number of Poles in Filter Kn AC Noise Bandwidth Ratio 1 1.57 2 1.22 3 1.16 4 1.13 5 1.12 Here are some brickwall conversion factors. To convert a first order low pass filter to a brickwall filter you multiply the 3db cutoff frequency by Note that the 5th order filter is practically a brickwall (i.e. the conversion factor is close to 1).

26 Broadband Noise Equation
eBB BWn = (fH)(Kn) where: BWn = noise bandwidth for a given system fH = upper frequency of frequency range of operation Kn = “Brickwall” filter multiplier to include the “skirt” effects of a low pass filter enBB = (eBB)(√[BWn]) where: enBB = Broadband voltage noise in volts rms eBB = Broadband voltage noise density ; usually in nV/√Hz BWn = Noise bandwidth for a given system The BW formula converts the 3 dB point to the brick wall filter (i.e. noise bandwidth ). The en formula allows you to compute the total broad band noise.

27 1/f Noise Equation (see appendix for derivation)
= where: = normalized noise at 1Hz (usually in nV) = voltage noise density at f ; (usually in nV/√Hz) f = a frequency in the 1/f region where noise voltage density is known en1/f = where: en1/f = 1/f voltage noise in volts rms over frequency range of operation = voltage noise density at 1Hz; (usually in nV) fH = upper frequency of frequency range of operation (Use BWn as an approximation for fH) fL = lower frequency of frequency range of operation The equation is used to normalize the reading on the 1/f curve to 1Hz. In this example this procedure is not required; however, some curves to not show a 1Hz point. The en1/f equation uses the result from the previous equation and the bandwidth to compute the total noise.

28 Example Noise Calculation
Given: OPA627 Noise Gain of 101 Find (RTI, RTO): Voltage Noise Current Noise Resistor Noise Now we are ready for the example! We will examine an OPA627 in a non-inverting configuration. The total noise at the output will be the sum of op-amp voltage noise, op-amp current noise, and resistor noise.

29 Voltage Noise Spectrum and Noise Bandwidth
50nV/rt-Hz 5nV/rt-Hz The left hand curve is the voltage spectral density curve. It has a 1/f and broadband region. The right hand curve is the open loop gain (AOL) curve. The bandwidth of the circuit is determined by the AOL curve because there is no other filter. The calculation shows that the bandwidth is 158kHz; this can also be seen graphically. Unity Gain Bandwidth = 16MHz Closed Loop Bandwidth = 16MHz / 101 = 158kHz

30 Example Voltage Noise Calculation
Broadband Voltage Noise Component: BWn ≈ (fH)(Kn) (note Kn = 1.57 for single pole) BWn ≈ (158kHz)(1.57) =248kHz enBB = (eBB)(√BWn) enBB = (5nV/√Hz)(√248kHz) = 2490nV rms 1/f Voltage Noise Component: = = (50nV/√Hz)(√1Hz) = 50nV en1/f = Use fH = BWn en1/f = (50nV)(√[ln(248kHz/1Hz)]) = 176nV rms Total Voltage Noise (referred to the input of the amplifier): enT = √[(en1/f)2 + (enBB)2] enT = √[(176nV rms)2 + (2490nV rms)2] = 2496nV rms Now that we have all the equations for the op-amp voltage noise let’s compute it for this example. Inspection of the results shows that the 1/f noise component is not significant in this example. This is fairly typical of wide bandwidth examples. Also note that the results are added using root sum of squares.

31 Example Current Noise Calculation
Note: This example amp doesn’t have 1/f component for current noise. en-in= (in)x(Req) en-out= Gain x (in)x(Req) Now that we have the op-amp voltage noise component, let’s compute the effect of current noise. For this example the current noise flows through the parallel combination of R1 || Rf. If this doesn’t seem intuitively obvious, there is a proof in the appendix. So the current noise is multiplied by the equivalent noise resistor to generate an input noise source.

32 Example Current Noise Calculation
Broadband Current Noise Component: BWn ≈ (fH)(Kn) BWn ≈ (158kHz)(1.57) =248kHz inBB = (iBB)(√BWn) inBB = (2.5fA/√Hz)(√248kHz) = 1.244pA rms Req = Rf || R1 = 100k || 1k = 0.99k eni = (In)( Req) = (1.244pA)(0.99k) = 1.23nV rms Since the Total Voltage noise is envt = 2496nV rms the current noise can be neglected. neglect Here are the numbers. For this example the noise current is very small (fA/rt-Hz). The equivalent input resistance is also small (aprox = 1k). The result is an extremely small noise voltage is developed. For all practical purposes we could neglect this number, but we will included it for completeness. Later we will see an example where current noise dominates.

33 Example Resistor Noise Calculation
enr = √(4kTKRΔf) where: R = Req = R1||Rf Δf = BWn enr = √(4 (1.38E-23) ( ) (0.99k)(248kHz)) = 2010nV rms en-in= √(4kTRΔf) en-out= Gain x (√(4kTRΔf)) The equivalent resistance is also used to compute the total thermal nose for a resistor. In this example the thermal noise is significant (2000nV rms).

34 Total Noise Calculation
Voltage Noise From Op-Amp RTI: env = 2510nV rms Current Noise From Op-Amp RTI (as a voltage): eni = 1.24nV rms Resistor Noise RTI: enr = 2020nV rms Total Noise RTI: en in = √((2510nV)2 + ((1.2nV)2 + ((2010nV)2) = 3216nV rms Total Noise RTO: en out = en in x gain = (3216nV)(101) = 325uV rms Now we have all three components. We can add them together using the root sum of squares. This gives us the total output rms noise. What is the peak to peak?

35 Calculating Noise Vpp from Noise Vrms
Relation of Peak-to-Peak Value of AC Noise Voltage to rms Value Peak-to-Peak Amplitude Probability of Having a Larger Amplitude 2 X rms 32% 3 X rms 13% 4 X rms 4.6% 5 X rms 1.2% 6 X rms * 0.3% 6.6 X rms 0.1% Remember we can estimate the peak to peak by multiplying by factor of 6 (or 6.6). *Common Practice is to use: Peak-to-Peak Amplitude = 6 X rms

36 Peak to Peak Output For our Example
en out = 325uV rms en out p-p = (325uV rms )x6 = 1.95mVp-p So for this example the peak-to-peak output is 1.95mVpp. Later we will simulate and measure this circuit with the same results.

37 Tina Spice Noise Analysis
This section will cover noise simulation techniques.

38 Tina Spice – Free simulation software
DC, AC, Transient, and Noise simulation Includes all Texas Instruments op-amps Unlimited Nodes Does not include some options (e.g. Monte Carlo analysis) Search for “Tina Spice” on Download free Application circuits available Before covering the material I should mention that Texas Instruments provides a spice simulation program free of charge. Search for Tina spice on to download this software.

39 Tina Spice Analysis How to Verify that the Tina Model is Accurate
How to Build Your Own Model How to Compute Input and Output Noise In this section we will first show how to prove that the model is adequate for modeling noise. Some older models do not properly model noise. If the model is not accurate we will show how to build your own mode. Finally we will show how to do noise analysis using noise models.

40 Noise Model Test procedure:
Is the Tina Noise Model Accurate? The slide shows a nose test that will verify if an op-amp model accurately models noise. All Tina spice noise simulations need a signal source.

41 Translate Current Noise to Voltage Nose
Set Gain to 1. Tina cannot measure current noise. The I to V converter directly translates the current to voltage so that Tina can measure it. Set the gain to 1 so that uA become uV. Thus current noise will be read on the “current_noise” probe. Voltage noise is buffered by the voltage follower configuration, so voltage noise will be read on the voltage noise probe.

42 Generate Spectral Noise Plots
“Output Noise” diagram gives the output voltage noise spectral density measured at each volt meter. Run noise analysis, select the frequency range, and choose output noise. Output noise will generate a spectral density plot at each probe or meter.

43 Separate Curves The slide shows the result you should get. You will have to make some adjustments so that it is easily comparable to the data sheet. The first step to cleaning up the curves is to select “separate curves” on the view menu.

44 Click on Axis to Scale Now adjust the scale for current noise and voltage nose. Set the scale to “Logrithmic” and adjust the limits to match the data sheet.

45 OPA227 Matches Tina Model Matches the Data Sheet
Now the two curves are easily compared to the data sheet. In this case they match, so the model can be used as is. However, in some cases you must build your own model.

46 The OPA627 Tina Model Does NOT Match the Data Sheet
Wrong magnitude No 1/f If you do the same test on the OPA627 Tina Model you will find that the model does not match the data sheet. The voltage noise does not have the correct 1/f noise and the current noise has the wrong magnitude. So how do we create our own models? 5nV/rtHz

47 Build Your Own Noise Model Using “Burr-Brown” Macro Model Noise Sources and Generic Op-Amp
The voltage and current noise source is available at (search for “noise sources”). You can make your on noise model using a noise voltage source, noise current source, and generic op-amp. This configuration can be downloaded from (search for noise sources).

48 Right Click on Noise Source to Edit the Macro
Enter magnitude of 1/f and broadband noise into the macro. First edit the voltage noise source. Right click on it; enter macro.

49 1/f Region Look for a point in the 1/f region. Enter the frequency and magnitude at this point (1Hz, 50nV/rtHz) The 1/f noise has two values you need to enter: the noise in nV/rtHz and the frequency that it is measure at. Make sure that this point is selected in the 1/f region.

50 Broadband Region Look for a point in the broad band region. Enter the magnitude at this point The broadband region only requires one entry in the model. The voltage in nV/rtHz in the braodband region. (100kHz, 5nV/rtHz)

51 Compile the Macro After macro is compiled press “file > close” and return to schematic editor. Click the compile check box and verify that there is no error before closing the macro.

52 Same Procedure for Current Noise Source
Follow the same procedure for current noise. This example has no 1/f component (set FLWF = 0.001). The same procedure is done for the current noise source. In this example the current nose source does not have a 1/f region. So, set the 1/f noise the same as the broad band noise and enter the 1/f frequency at a low number.

53 Important Op-Amp Characteristics
Data Sheet OLG = 10(Ndb/20) = 1E6 (From Data Sheet) GBW = 16MHz (From Data Sheet) Dominant Pole = GBW / OLG = (16MHz) / (1E6) = 16Hz where: GBW – Unity Gain-Bandwidth Product OLG – Open Loop Gain The final requirement is to make some modifications to the generic op-amp model. First find the linear equivalent of the decibel open loop gain. Use this number with the gain bandwidth to compute the dominant pole (Dominant Pole = GBW/OLD). Dominant Pole

54 Edit Generic Op-Amp Macro-model
Double Click on Op-Amp Press “Type” Button Edit “Open loop gain” and “Dominant Pole” according to Op-Amp data sheet Right click on the op-amp symbol to edit the parameters. Enter the open loop gain and dominant pole into the generic op-amp model.

55 Verify the Noise Model is Correct Using the Test Procedure
2.5fA/rtHz 50nV/rtHz 2.5nV/rtHz 50nV/rtHz Re-run the op-amp model test for the new model. In this case the model matches the data sheet. 2.5nV/rtHz

56 Let’s Use Tina on the Hand Analysis Circuit
“Output Noise” will give the noise Spectrum at all output meters (V627 in this example). “Total Noise” will give the integrated total RMS noise at all output meters. Use the new model on the same circuit that we performed the hand analysis on. Select the “Output Noise” and “Total Noise” options on the nose analysis window. Total noise is the integrated noise. Output Noise is the spectral density.

57 Let’s Analyze the Circuit that We did Hand Analysis on
en out = 325uV rms Tina Analysis: en out = 323uV rms 323uV rms The top curve is the noise spectral density curve at the output of the amplifier (probe V627). The bottom curve is the integral of the power spectral density curve (total noise). Note that the total noise flattens out to approximately 323uV rms. Note that the total noise from the simulation compares well with the hand analysis.

58 Use Tina to Analyze this Common Topology
Gain vs Frequency AC Analysis > Transfer Characteristic Output Noise Spectrum Total Noise 25uV with BWn = 100MHz So how can we reduce the total noise? A simple filter in the feedback will limit the amount that is integrated. However, one thing to note is that the filter does not continuously roll off the gain; the gain decreases until we reach utility gain then remains constant until the op-amp AOL begins to roll off. Note that the total noise is significantly lower then the previous circuit (323>25uV).

59 External Filter Improves Noise Performance
Gain vs Frequency (V627 / VG1) Cf Filter External Filter Cf Filter Output Noise Spectrum Total Noise 25uV with BWn = 100MHz Cf Filter This slide shows how using an external filter can further improve the noise. The key is that the external filter rolls off continuously and the Cf filter will flatten off at unity gain. External Filter External Filter

60 Noise Measurement... The Instruments
Now we will cover common instruments used to measure nose.

61 Instruments For Noise Measurements
True RMS Meter Oscilloscope Spectrum Analyzer / Signal Analyzer Three common instruments used to measure noise are 1) true rms meter, 2) oscilloscope, and 3) spectrum analyzer. In this section we will discuss tips, tricks, advantages, and disadvantages of each instrument.

62 Digitizer Thousands of Samples
True RMS Meter – How it works Circuit under test HP3458A Digitizer Thousands of Samples Input terminals ac coupling Display RMS Compute RMS Broadband noise can be measured using a true rms meter. A true rms meter computes the actual rms value of an arbitrary signal. Some rms volt meters will only report rms values for sinusoidal waveforms. This type of meter is not a true rms meter. The example shown is a typical true rms digital volt meter. It digitizes the signal and computes the rms mathematically. Note that it is important to make sure the instrument is in the optimal mode for rms readings. Good Broadband Noise Measurement

63 True RMS Meter – HP3458A 3 True RMS modes (Synchronous, Analog, Random) Random – optimal mode for broadband measurements Specified Bandwidth (BW = 20Hz to 10MHz) Accuracy 0.1% for Specified Bandwidth Noise Floor 17uVrms (on 10mV range) Ranges: 10mV, 100mV V See Appendix for Setup This slide shows some specifications, and modes of operation for the HP3458A. Other instruments will have different specifications and modes of operation. The key is that you need to understand specifications such as bandwidth, and noise floor to know if the instrument is good for your application.

64 Oscilloscope Noise Measurements
Do NOT use 10x Probes for low noise measurements Use direct BNC Connection (10 times better noise floor) Use Male BNC Shorting Cap to Measure Noise Floor Use BW Limiting if Appropriate Use digital scope in dc coupling for 1/f noise measurements (ac coupling has a 60Hz high pass) Use AC coupling for broadband measurements if necessary The oscilloscope is probably the most common way people measure noise. Typically the scope is connected to the circuits output and the peak-to-peak noise level is observed. This slide lists some tips and tricks to insure that the observed reading is accurate. The key characteristic for any noise measurement instrument is noise floor and bandwidth.

65 TDS460A Digitizing Oscilloscope Example
Best Noise Floor = 0.2mV BW Limit = 20MHz BNC Shorting Cap Noise measurements use BNC cables Noise Floor = 0.8mV BW FULL = 400MHz BNC Shorting Cap Worst Noise Floor = 8mV BW FULL = 400MHz 10x Scope Probe This slide emphasizes that it is best to use a 1x scope (not 10x probe). Also, it helps to use the scope bandwidth limit feature (assuming the circuit under test is still inside the scopes bandwidth).

66 Oscilloscope Noise Measurement
Some more tips and tricks with the scope are: Avoid using the GND lead if possible. It can act as a loop antenna. If possible remove the scope probe cap and use a direct GND connection (as shown on the right). Use a shorting cap to determine the scope noise floor. BNC Shorting Cap

67 Spectrum Analyzer -- Convert Result to nV/rt-Hz
The spectrum analyzer is another useful instrument for measuring noise. Conceptually the spectrum analyzer works by sweeping a band pass filter across frequency and plotting the filters output. The width of the band pass filter is referred to as the measurement bandwidth.

68 Effect of Changing the measurement Bandwidth
Measuring 67kHz and 72kHz The pictures above show a spectrum analyzer being used to measure signals at 62kHz and 72kHz. The two spectrum analyzers are run using a different measurement bandwidth setting (150Hz and 1200Hz). The measurement that used the narrow resolution bandwidth is better at resolving the discrete signals. Also the narrow measurement bandwidth reduces the noise floor because the amount of noise captured inside of the band pass filter is smaller with a narrow bandwidth. The measurement with wide resolution bandwidth blurs together the signals because the filter captures both signals at once. So when making noise measurements take care to use a measurement bandwidth that provides good resolution. Note that decreasing measurement bandwidth will increase the sweep time. Thus, it is not always practical to use an extremely narrow measurement bandwidth. BW set to 150Hz BW set to 1200Hz Narrow BW increases resolution & lowers noise floor Wider BW reduces resolution & raises noise floor Narrow BW  Longer Sweep Time

69 dBm to Spectral Density
Convert dBm to nV/rt-Hz Spectrum analyzers often display the measurement as dBm. For noise measurements we would prefer to have the number in nV/rtHz. The formula above shows how to convert dBm to nV/rtHz. Filter Type Application Kn 4-pole sync Most Spectrum Analyzers Analog 1.128 5-pole sync Some Spectrum Analyzers Analog 1.111 Typical FFT FFT-based Spectrum Analyzers 1.056

70 Effect of Changing Averaging
One last thing to mention regarding spectrum analyzers is that the averaging feature can be used to improve the measurement result (see plots above). Note that increasing averaging also increases the measurement time. No Averaging. Averaging = 49. Increase Averaging to Reduce Noise Floor  Increase Measurement Time

71 Noise Measurement... Example Measurement
We have completed the brief overview of the instruments, now let’s move on to some common circuit examples.

72 Noise Measurement Circuits
1/f Measurement Filter Circuit Measurement results Noise floor (choosing the best amp) Example Circuit Noise Measurement Broadband with scope and true RMS meter Voltage Noise Spectral Density Measurement Look at typical spectrum analyzer errors We will cover three measurement examples as shown above.

73 DUT 0.1Hz Second Order 10.0Hz Fourth Order 1/f Filter 1/f Filter
0.1Hz HPF Gain = 10 10Hz LPF Gain = 10 Gain = 1001 Device Under Test DUT Data sheets often have an oscilloscope plot of 0.1Hz to 10Hz noise. These plots are meant as an indication of the 1/f noise for the device. The circuit shown above is one common way this measurement is done. Note that the circuit has a total gain of 1001x10x10 = Also note that a high order filter restricts the frequency range to 0.1 to 10Hz. 1/f Filter 0.1Hz to 10Hz 10Hz LPF Gain = 1

74 The Circuit Generates the Data Sheet 1/f Plots (Example OPA227)
Tektronix TDS460A Measurement PDS 0.1Hz to 10Hz Noise Curve OPA227 OPA227 Data Sheet Curve The plot on the right shows the measurement made using the circuit from the previous slide. The figure on the left is from the data sheet. Note that the data sheet curve is referred to the input. To make the plot on the left referred to the input divide by the gain; note that the result is the same as the data sheet curve (as expected). Y-Axis scale must be adjusted to account for the gain to match data sheet. 5mV/(10x10x1000) = 50nV/div

75 1/f Filter Measured vs Tina (Example OPA227)
Tektronix TDS460A Measurement Tina Simulation OPA227 OPA227 The 1/f circuit can be analyzed using Tina spice. Tina spice gives the total noise to be 1.84mV rms. This can be converted to peak-to-peak by using the 6 x rms relationship. Note that the simulation and measurement results are close (10mVpp to 11mVpp). Measured Output is approximately 10mVp-p Tina Simulation 1.84mV rms (1.84mV)(6) = 11mVp-p

76 Measure Noise Floor of 1/f Filter
What Op-Amp will give us the lowest noise Floor? Replace DUT with Short Large Input Impedance In order to be confidant that a noise measurement is accurate, it is important to look at the noise floor. In the case of the 1/f measurement circuit, the device under test (DUT) can be replaced with a short to measure the noise floor. Note the type of op-amp used can effect the noise floor, because some amplifiers are designed to optimize the noise performance. So what amplifier would work best for this application? Lets consider a few alternative.

77 Measure Noise Floor of 1/f Filter
What Op-Amp will give us the lowest noise Floor? Op-Amp General Description Vn (nV/rt-Hz) In (fA/rt-Hz) OPA227 Low noise Bipolar @10Hz @1Hz 20 @0.1Hz 2, @10Hz 6, @1Hz 20,000 @0.1Hz OPA132 Low noise CMOS 23 @10Hz 80 @1Hz 228 @0.1Hz 3 OPA735 Auto Zero CMOS 135 40 Above are three different amplifiers. The first amplifier in the list (opa227) is a low noise bipolar. Intuitively, this seems like the best choice because of the low voltage noise; however, the current noise on this device is relatively high. This application has high input impedance and so current noise may be significant. The second amplifier (opa132) has higher voltage noise but relatively low current noise . The third option (opa735) has higher voltage noise and current noise then the second option, so it does not seem like a good option; however, it is an auto-zero amplifier. On the next slide we will see that the auto-zero topology offers some benefits.

78 Note: measurements in paint can minimize thermal drift.
OPA227 (15mVp-p paint can) OPA132 (0.6mVp-p in paint can) Low noise in thermally stable environment Large Current noise OPA734 (0.8mVp-p in free air) OPA132 (3mVp-p in free air) This slide shows the measurement results for the three op-amp choices. The OPA227 has the worst noise. This is because of the current noise through the large input impedance. For low impedance applications the OPA227 would likely be the best choice. The OPA132 has two plots. One in free air, and one in a thermally stable environment. The device in free air has input offset voltage drift from air currents. The offset drift looks like noise. Note that the air currents around the device during the measurement were normal office / lab fluctuations; they were not extreme. The noise for the OPA734 is slightly higher then the OPA132 (in a thermal stable environment). However, when the OPA132 is placed in free air, it has significant higher noise then the OPA734. For this reason, I think the OPA734 is the best choice. Auto zero No 1/f Noise Low Vosi Drift “Noise” from Vosi Drift Note: measurements in paint can minimize thermal drift.

79 Some General Measurement Precautions
Linear Supply or Battery Copper box or paint can as RFI / EMI Shield. This also minimizes thermal drift Besides checking the noise floor, there are several other precautions you should take when making low noise measurements. Key items are: Use a well shielded environment. It is important to minimize any gaps. Copper, and steel are good choices. The shield should be grounded. If possible, make the connection to the circuit with a direct BNC connection. Use shielded cables. Batteries, or linear supplies provide the lowest noise supply. A BNC shorting cap is useful when measuring the noise floor. Don’t leave unterminated or floating inputs. Use BNC Male Shorting cap for noise floor BNC From Circuit Under Test BNC To Scope

80 A paint can makes a good shielded environment
One simple way to create an effective noise shield is to make a few modifications to a steel paint can. The paint can is grounded through the BNC connector shield. Banana jacks are used to supply power.

81 Measure The OPA627 Example Using A Scope
Copper box Rf 100k R1 1k Output noise Scope BNC BNC Calculated (Previous Example): Vn = 325uV rms Measured: Vn = √((476uV)2 – (148uV)2) = 452uV rms Note: peak to peak reading is roughly 2mVp-p Let’s look at the example circuit that we did the hand analysis and spice analysis on. This circuit was connected directly to a scope via a BNC. Note that the measured result is in the range of what you would expect. This is typical for scope measurements. Noise floor

82 Measure The OPA627 Example Using A True RMS Meter (HP3458a)
Copper box Rf 100k R1 1k BNC Coax HP3458A True RMS SETACV RNDM Banana Calculated (Previous Example): en = 325uV rms Measured HP3458A DVM: DVM_READING =346uV Noise Floor = 18uV Noise_Meas = √((346uV)2 – (18uV)2) = 346uV rms The example circuit is measured again using a true RMS meter. In this case, the result is much closer to the expected noise.

83 (Voltage Noise Spectrum)
Spectrum Analyzer Measurement of OPA627 (Voltage Noise Spectrum) Low Req to reduce effect of in and thermal noise Agilent 35770a Dynamic Signal Analyzer Has nV/rt-Hz Mode Bandwidth 0Hz to 100kHz 1M Input Impedance Finally, let’s measure the noise spectral density curve. We will try to reproduce the curve given in the data sheet. The circuit connection is shown above. Note a large value ceramic capacitor (C1 ) is used to ac couple the signal into the spectrum analyzer. The input impedance and the coupling capacitor form a very low cut frequency high pass filter (0.008Hz). This is important for proper 1/f characterization. The capacitive coupling is required because the op-amp has a large dc offset compared to the noise level; i.e. the dc offset would over-range the input. Note that the spectrum analyzer may have an AC coupled mode; however, the lower cut frequency is often too high for adequate 1/f measurements. Also note that the R1 || R2 combination is low to minimize the thermal noise. 20mV dc offset 0.2mVp-p noise (Bad SNR) 0.008Hz HPF removes dc component, but still allows 1/f measurement

84 Spectrum Analyzer Measurement of OPA627
The low frequency run is time consuming. Approximately 12 min. This plot shows several the spectral density curve measured using the circuit from the previous slide. Note that the data was collected over several ranges. Collecting over several ranges minimizes low frequency errors. Also note that a noise floor was measured. Data was collected over five different frequency ranges Noise floor verification

85 Spectrum Analyzer Measurement of OPA627
60Hz noise pickup Low frequency tail on each run must be discarded. To create the spectral density curve: Combine to one curve. Discard bad information (e.g. low frequency tails, noise pickup) Divide by the gain to make the curve RTI. Combine to one curve Discard bad information Divide by gain of 100

86 The “Tail Error” is from relatively wide measurement bandwidth at low frequency.
Cause of the low Frequency Tail: Keep in mind the spectral density curve is on a logarithmic axis. The measurement bandwidth (as a % of frequency) is much wider at low frequency then at high frequency. So at low frequency the band pass captures dc and 1/f. This pushes the spectrum higher then it should be (i.e. the low frequency tail).

87 Spectrum Analyzer Measurement of OPA627
OPA627 PDS The final combined curve shows that the 1/f noise corner is different then the data sheet. This is not unusual. The 1/f noise corner changes with process variations. Measured 1/f noise corner is better then data sheet.

88 Noise Article Series (www.en-genius.net)
References Robert V. Hogg, and Elliot A Tanis, Probability and Statistical Inference, 3rd Edition, Macmillan Publishing Co C. D. Motchenbacher, and J. A. Connelly, Low-Noise Electronic System Design, A Wiley-Interscience Publication Henry W. Ott, Noise Reduction Techniques in Electronics Systems, John Wiley and Sons Acknowledgments: R. Burt, Technique for Computing Noise based on Data Sheet Curves, General Noise Information N. Albaugh, General Noise Information, AFA Deep-Dive Seminar T. Green, General Information B. Trump, General Information B. Sands, Noise Models Noise Article Series ( Here are some references that were used in the development of this presentation. Note that an article series on covers this in greater detail.

89 Noise – Calculation and Measurement
Thank You for Your Interest in Noise – Calculation and Measurement Thanks for your interest. Please feel free to contact me for further questions. Comments, Questions, Technical Discussions Welcome: Art Kay

90 Appendix 2

91 Statistics Summary (Formulas)

92 (PDF vs Discrete Population)
Statistics Summary (PDF vs Discrete Population)

93 Statistics Summary (RMS and STDEV)

94 RMS vs STDEV Stdev = RMS when the Mean is zero (No DC component). Tina gives the true RMS result in AC calculations. See mathematical proof in appendix. RMS = STDEV RMS ≠ STDEV 1Vpk sinusoidal

95 Useful Numerical Methods
Method for generating a time domain approximation of 1/f noise For i = 1 To 32768 white = Rnd(1) - 0.5 buf0 = * old_buf * white buf1 = * old_buf * white buf2 = 0.95 * old_buf * white buf3 = 0.85 * old_buf * white buf4 = 0.62 * old_buf * white buf5 = 0.25 * old_buf * white pink = buf0 + buf1 + buf2 + buf3 + buf4 + buf5 Next i

96 Useful Numerical Methods
Method for generating average, standard deviation, and RMS for a discrete population (sampled data) ‘N is the Number of samples, and f(i) is an array of measured data Average=0 ‘Initialize the variables Stdev=0 RMS=0 For i = 1 To N Average=Average + f(i) Next I Average = Average/N stdev=(f(i) – Average)^2 Stdev = Stdev/N RMS=(f(i))^2 RMS = RMS/N

97 Brick Wall Factor Calculation for first order filter.

98 1/f Noise Derivation

99 Current Noise - 0V + + (In x Rf) - In Vo = (In x Rf)

100 Current Noise Equ. Input Noise Vo = (In x Rf)

101 Solve for Resistor Noise Components
Noise Source with Each Resistor

102 en1 Vo = en1 x Rf/R1

103 en2 Vo = en2

104 Add noise components and refer to the input.
Note: the input noise is equivalent to Rf || R1 (proof on next page)

105 Proof: Simple Amp Resistor Noise
Input Noise is equivalent to noise from parallel combination of Rf and R1.


Download ppt "Op-Amp Noise Calculation and Measurement"

Similar presentations


Ads by Google